[ { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/gbhxy-z6j32", "eprint_status": "archive", "datestamp": "2023-12-07 00:41:10", "lastmod": "2023-12-07 00:41:10", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sarkar-Debjit", "name": { "family": "Sarkar", "given": "Debjit" }, "orcid": "0000-0002-3066-9819" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Visible spectrum waveguiding in bulk CMOS", "ispublished": "pub", "full_text_status": "public", "keywords": "Atomic and Molecular Physics, and Optics", "note": "
\u00a9 2023 Optica Publishing Group under the terms of the Optica Open Access Publishing Agreement.
\n\nThis work was supported by the National Science Foundation Graduate Research Fellowship under Grant No. DGE-1745301. The authors also thank the following: Space Solar Power Project and Carver Mead New Adventures Fund for support, Muse Semiconductor for their tapeout services, and Craig Ives and Volkan Gurses for useful discussions.
\n\nNSF graduate fellowship (NSF Grant No. DGE\u20101745301); Carver Mead New Adventures Fund; Space Solar Power Project.
\n\nData underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.
\n\nThe authors declare no conflicts of interest.
", "abstract": "Waveguiding across the visible spectrum in an unmodified bulk CMOS chip is reported. The chip is fabricated in a standard CMOS process, and a simple wet etch removes metal in predetermined locations to expose glass rib waveguides. A modified Euler bend is introduced to improve bend radii by nearly an order of magnitude in the rib waveguides, and upper-bound losses are measured at visible wavelengths. These losses range from 6.2 dB/cm at 450 nm to 3.2 dB/cm at 650 nm and represent the lowest losses reported at visible wavelengths in unmodified bulk CMOS.", "date": "2023-12-04", "date_type": "published", "publication": "Optics Express", "volume": "31", "number": "25", "publisher": "Optica Publishing Group", "pagerange": "42365-42372", "issn": "1094-4087", "official_url": "https://authors.library.caltech.edu/records/gbhxy-z6j32", "funders": { "items": [ { "grant_number": "DGE\u20101745301" }, { "agency": "Carver Mead New Adventures Fund" }, { "agency": "Space Solar Power Project" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1364/oe.502252", "primary_object": { "basename": "oe-31-25-42365.pdf", "url": "https://authors.library.caltech.edu/records/gbhxy-z6j32/files/oe-31-25-42365.pdf" }, "resource_type": "article", "pub_year": "2023", "author_list": "Sarkar, Debjit and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/r0r2c-wzz55", "eprint_id": 120694, "eprint_status": "archive", "datestamp": "2023-08-22 20:46:39", "lastmod": "2023-10-23 20:29:38", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Nooshabadi-Samir", "name": { "family": "Nooshabadi", "given": "Samir" }, "orcid": "0000-0003-1645-0009" }, { "id": "Khial-Parham-P", "name": { "family": "Khial", "given": "Parham P." }, "orcid": "0000-0002-3242-8541" }, { "id": "Fikes-Austin", "name": { "family": "Fikes", "given": "Austin" }, "orcid": "0000-0003-4889-5782" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 28-GHz, Multi-Beam, Decentralized Relay Array", "ispublished": "pub", "full_text_status": "public", "keywords": "Electrical and Electronic Engineering", "note": "\u00a9 2023 IEEE. \n\nThis work was supported in part by the Caltech CI\u00b2 and SSPP Programs. The work of Samir Nooshabadi was supported by an NDSEG Fellowship.", "abstract": "Multi-beam relays can be used to overcome the non-line-of-sight (NLOS) issues in millimeter-wave (mm-wave) communication networks that serve many users in an obstruction-rich environment. We demonstrate a modular multi-beam relay array at 28 GHz, which is scalable and fully decentralized. The individual branches of the array are independent and do not need to share a timing reference or be physically located on the same substrate. Multi-beam capability is facilitated by a multi-channel baseband signal conditioning chain that includes a reconfigurable, passive, inductorless, 3rd-order N-path filter with programmable frequency-independent phase shift. This baseband signal conditioning chain enables beams concurrently multiplexed in spatial and frequency domains. We present the theory, design, and operation of the custom RFIC, which enables independent multi-beam relaying. Multiple RFICs are used to create relay arrays. A four-element relay array demonstrates three independently steered beams that utilize the full array aperture with a total wireless throughput of 625 Mb/s.", "date": "2023-05", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "58", "number": "5", "publisher": "IEEE", "pagerange": "1212-1227", "id_number": "CaltechAUTHORS:20230404-448520900.2", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20230404-448520900.2", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Innovation Initiative (CI2)" }, { "agency": "Space Solar Power Project" }, { "agency": "National Defense Science and Engineering Graduate (NDSEG) Fellowship" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/jssc.2023.3251898", "resource_type": "article", "pub_year": "2023", "author_list": "Nooshabadi, Samir; Khial, Parham P.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7cfpe-ncf36", "eprint_id": 121567, "eprint_status": "archive", "datestamp": "2023-08-20 16:46:08", "lastmod": "2023-10-20 15:38:35", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Wireless Power Transfer at Distance", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2023 IEEE.\n\nWe would like to thank the contributions of current and former member of the Caltech space solar power project to this work. This work was supported in part by Caltech SSPP.", "abstract": "Wireless revolution in communication systems over the last several decades is beginning to move to the realm of energy transfer. Continuous energy transmission using proximity inductive charging is already available in many of our portable device [1]. Although it is technically wireless, the source and recipient of the energy still need to be within immediate proximity of each other, which leaves most of the wireless power application space unaddressed. This need drives the development of wireless power transfer at distance (WPT-AD) [2].", "date": "2023-04", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1-4", "id_number": "CaltechAUTHORS:20230526-663080000.38", "isbn": "979-8-3503-9948-6", "book_title": "2023 IEEE Custom Integrated Circuits Conference (CICC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20230526-663080000.38", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Space Solar Power Project" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/cicc57935.2023.10121222", "resource_type": "book_section", "pub_year": "2023", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hqf4a-33j52", "eprint_id": 115505, "eprint_status": "archive", "datestamp": "2023-08-20 08:42:40", "lastmod": "2023-10-24 16:33:11", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gurses-B-Volkan", "name": { "family": "Gurses", "given": "B. Volkan" }, "orcid": "0000-0001-8184-208X" }, { "id": "Fatemi-Reza", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Khachaturian-Aroutin", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Large-Scale Crosstalk-Corrected Thermo-Optic Phase Shifter Arrays in Silicon Photonics", "ispublished": "pub", "full_text_status": "public", "keywords": "Optical phase shifters, thermo-optic effects, time\ndivision multiplexing, crosstalk, large-scale circuits, integrated\noptoelectronics, silicon", "note": "\u00a9 2022 IEEE. \n\nManuscript received 3 February 2022; revised 4 June 2022; accepted 13 June 2022. Date of publication 12 July 2022; date of current version 27 July 2022. \n\nThis work was supported by the Caltech Rothenberg Innovation Initiative (RI2) program.\n\nAccepted Version - 2206.04525.pdf
", "abstract": "We introduce a thermo-optic phase shifter (TOPS) array architecture with independent phase control of each phase shifter for large-scale and high-density photonic integrated circuits with two different control schemes: pulse amplitude modulation (PAM) and pulse width modulation (PWM). We realize a compact spiral TOPS and a 288-element high-density row-column TOPS array with this architecture and drive TOPS with waveforms of both control schemes and of different array sizes. We present a thermal excitation model and a finite difference method-based simulation to simulate large-scale TOPS arrays and compare both schemes experimentally and theoretically. We also analyze the effects of thermal crosstalk in the realized TOPS array and implement a thermal crosstalk correction algorithm with the developed model. The high-density TOPS array architecture and the thermal crosstalk correction algorithm pave the way for high-density TOPS arrays with independent phase control in large-scale photonic integrated circuits interfaced with electronics limited in voltage swing and bandwidth.", "date": "2022-11", "date_type": "published", "publication": "IEEE Journal of Selected Topics in Quantum Electronics", "volume": "28", "number": "6", "publisher": "IEEE", "pagerange": "Art. No. 6101009", "id_number": "CaltechAUTHORS:20220712-193859173", "issn": "1077-260X", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20220712-193859173", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Rothenberg Innovation Initiative (RI2)" } ] }, "doi": "10.1109/JSTQE.2022.3189965", "primary_object": { "basename": "2206.04525.pdf", "url": "https://authors.library.caltech.edu/records/hqf4a-33j52/files/2206.04525.pdf" }, "resource_type": "article", "pub_year": "2022", "author_list": "Gurses, B. Volkan; Fatemi, Reza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/pwga6-esn60", "eprint_id": 117536, "eprint_status": "archive", "datestamp": "2023-08-22 17:56:41", "lastmod": "2023-10-24 22:33:52", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gal-Katziri-M", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Fikes-Austin", "name": { "family": "Fikes", "given": "Austin" }, "orcid": "0000-0003-4889-5782" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Flexible active antenna arrays", "ispublished": "pub", "full_text_status": "public", "keywords": "Electrical and Electronic Engineering; General Materials Science", "note": "The authors acknowledge Florian Bohn, Behrooz Abiri, and Amirreza Safaripour for their work developing earlier versions of the RFIC. The authors would also like to acknowledge Mohammed Reza Hashemi for his work on tile-scale array prototypes. The authors would like to thank the Caltech Space Solar Power Project (SSPP) for partial funding of this work. Additionally the authors would like to thank the Rogers Corporations for providing circuit board prototyping materials and Keysight Technologies for providing measurement equipment used in this project.", "abstract": "Complex and dynamic control of radiated fields are advantageous for flexible radio systems, which naturally move, roll, bend, twist, deform, and vibrate. Practical challenges hinder the proliferation of these antenna arrays. This work shows how using radio-frequency microchips reduces system component count, decreases mass to ~0.1\u2009g cm\u207b\u00b2, and increases functionality and mechanical flexibility. We develop a general platform for large scale flexible arrays and demonstrate two different 256-elements, 30\u2009\u00d7\u200930\u2009cm\u00b2 flexible arrays. By varying supply distribution methods and radiators we show how performance can be optimized for maximum power delivery or physical flexibility. The demonstrated systems conform to curved surfaces with radii of curvatures as low as 23\u2009cm and wirelessly deliver\u2009~\u200980\u2009mW of DC power to a 6.7\u2009cm\u2009\u00d7\u200911\u2009cm-receiver over one meter away. This paves the way towards the integration of smart arrays in flexible wearables and deployable lightweight airborne systems.", "date": "2022-10-14", "date_type": "published", "publication": "npj Flexible Electronics", "volume": "6", "publisher": "Nature Publishing Group", "pagerange": "Art. No. 85", "id_number": "CaltechAUTHORS:20221024-123751900.4", "issn": "2397-4621", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20221024-123751900.4", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Space Solar Power Project" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1038/s41528-022-00218-z", "resource_type": "article", "pub_year": "2022", "author_list": "Gal-Katziri, Matan; Fikes, Austin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/k030e-vmy62", "eprint_id": 113047, "eprint_status": "archive", "datestamp": "2023-08-22 15:48:18", "lastmod": "2023-10-23 19:48:59", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gal-Katziri-Matan", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Ives-Craig-E", "name": { "family": "Ives", "given": "Craig" } }, { "id": "Khakpour-Armina", "name": { "family": "Khakpour", "given": "Armina" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Optically Synchronized Phased Arrays in CMOS", "ispublished": "pub", "full_text_status": "public", "keywords": "CMOS, injection-locked oscillators (ILOs), optoelectronics, phased arrays, photodiodes (PDs), silicon photonics; Electrical and Electronic Engineering", "note": "\u00a9 2022 IEEE. This work is licensed under a Creative Commons Attribution 4.0 License. \n\nManuscript received April 28, 2021; revised August 27, 2021; accepted December 8, 2021. Date of publication January 19, 2022; date of current version May 26, 2022. This article was approved by Associate Editor David Stoppa. \n\nThis work was supported by the Caltech SSPP Program. \n\nThe authors would like to thank Muse Semiconductor for the invaluable input throughout the fabrication process and Keysight Technologies for the generous assistance with high-performance measurement instrumentation. They would also like to thank for the thorough and constructive feedback from the anonymous reviewers.\n\nPublished - Optically_Synchronized_Phased_Arrays_in_CMOS.pdf
", "abstract": "Optical synchronization of large-span arrays offers significant benefits over electrical methods in terms of the weight, cost, power dissipation, and complexity of the clock distribution network. This work presents the analysis and design of the first phased array transmitter synchronized using a fully monolithic CMOS optical receiver. We demonstrate a bulk CMOS, 8-element, 28-GHz phased array building block with an on-chip photodiode (PD) that receives and processes the optical clock and uses an integrated PLL to generate eight independent phase-programmable RF outputs. The system demonstrates beam steering, data transmission, and remote synchronization of array elements at 28 GHz with fiber lengths up to 25 m, in order to show the scaling benefits of our approach. The provision of small footprint and cost-effective CMOS transceivers with integrated optoelectronic receivers enables exciting opportunities for low-cost and ultralight array systems.", "date": "2022-06", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "57", "number": "6", "publisher": "IEEE", "pagerange": "1578-1593", "id_number": "CaltechAUTHORS:20220121-11752000", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20220121-11752000", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Space Solar Power Project" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/jssc.2021.3136787", "primary_object": { "basename": "Optically_Synchronized_Phased_Arrays_in_CMOS.pdf", "url": "https://authors.library.caltech.edu/records/k030e-vmy62/files/Optically_Synchronized_Phased_Arrays_in_CMOS.pdf" }, "resource_type": "article", "pub_year": "2022", "author_list": "Gal-Katziri, Matan; Ives, Craig; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2gnm1-e1692", "eprint_id": 115684, "eprint_status": "archive", "datestamp": "2023-08-22 15:29:16", "lastmod": "2023-10-24 16:40:20", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Khachaturian-Aroutin", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Fatemi-Reza", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Darbinian-Artsroun", "name": { "family": "Darbinian", "given": "Artsroun" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Discretization of annular-ring diffraction pattern for large-scale photonics beamforming", "ispublished": "pub", "full_text_status": "public", "keywords": "Atomic and Molecular Physics, and Optics; Electronic, Optical and Magnetic Materials", "note": "\u00a9 2022 Chinese Laser Press. \n\nReceived 10 September 2021; revised 28 February 2022; accepted 1 March 2022; posted 1 March 2022 (Doc. ID 443061);\npublished 14 April 2022. \n\nThe authors would like to acknowledge Behrooz Abiri and Parham Porsandeh Khial for their valuable inputs in the design and analysis of this work. \n\nThe authors disclose no conflicts of interest. \n\nData Availability: Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.\n\nPublished - prj-10-5-1177.pdf
Submitted - 2109.05118.pdf
", "abstract": "A solid-state active beamformer based on the annular-ring diffraction pattern is demonstrated in an integrated photonic platform. Such a circularly symmetric annular-ring aperture achieves a radiating element limited field of view. Furthermore, it is demonstrated that a multi-annular-ring aperture with a fixed linear density of elements maintains the beam efficiency for larger apertures while reducing the beamwidth and side-lobe level. A 255-element multi-annular-ring optical phased array with active beamforming is implemented in a standard photonics process. A total of 510 phase and amplitude modulators enable beamforming and beam steering using this aperture. A row\u2013column drive methodology reduces the required electrical drivers by more than a factor of 5.", "date": "2022-05-01", "date_type": "published", "publication": "Photonics Research", "volume": "10", "number": "5", "publisher": "Optica Publishing Group", "pagerange": "1177-1186", "id_number": "CaltechAUTHORS:20220719-954621100", "issn": "2327-9125", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20220719-954621100", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/prj.443061", "primary_object": { "basename": "2109.05118.pdf", "url": "https://authors.library.caltech.edu/records/2gnm1-e1692/files/2109.05118.pdf" }, "related_objects": [ { "basename": "prj-10-5-1177.pdf", "url": "https://authors.library.caltech.edu/records/2gnm1-e1692/files/prj-10-5-1177.pdf" } ], "resource_type": "article", "pub_year": "2022", "author_list": "Khachaturian, Aroutin; Fatemi, Reza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/dqda3-kkd62", "eprint_id": 115873, "eprint_status": "archive", "datestamp": "2023-08-22 15:28:16", "lastmod": "2023-10-24 20:54:25", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Khachaturian-Aroutin", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Fatemi-Reza", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Achieving full grating-lobe-free field of view with low-complexity co-prime photonic beamforming transceivers", "ispublished": "pub", "full_text_status": "public", "keywords": "Atomic and Molecular Physics, and Optics; Electronic, Optical and Magnetic Materials", "note": "\u00a9 2022 Chinese Laser Press. \n\nReceived 29 July 2021; revised 9 March 2022; accepted 24 March 2022; posted 25 March 2022 (Doc. ID 437518); published 29 April 2022. \n\nThe authors acknowledge Behrooz Abiri and Parham Porsandeh Khial for their valuable inputs in the design and analysis of this work. \n\nThe authors disclose no conflicts of interest. \n\nData Availability: Data underlying the results presented in this paper are not publicly available at this time but may be obtained from the authors upon reasonable request.\n\nPublished - prj-10-5-A66.pdf
Submitted - 2108.10223.pdf
", "abstract": "Integrated photonic active beamforming can significantly reduce the size and cost of coherent imagers for LiDAR and medical imaging applications. In current architectures, the complexity of photonic and electronic circuitry linearly increases with the desired imaging resolution. We propose a novel photonic transceiver architecture based on co-prime sampling techniques that breaks this trade-off and achieves the full (radiating-element-limited) field of view (FOV) for a 2D aperture with a single-frequency laser. Using only order-of-N radiating elements, this architecture achieves beamwidth and sidelobe level (SLL) performance equivalent to a transceiver with order-of-N\u00b2 elements with half-wavelength spacing. Furthermore, we incorporate a pulse amplitude modulation (PAM) row\u2013column drive methodology to reduce the number of required electrical drivers for this architecture from order of N to order of \u221aN. A silicon photonics implementation of this architecture using two 64-element apertures, one for transmitting and one for receiving, requires only 34 PAM electrical drivers and achieves a transceiver SLL of \u221211.3\u2009dB with 1026 total resolvable spots, and 0.6\u00b0 beamwidth within a 23\u00b0\u00d716.3\u00b0 FOV.", "date": "2022-05", "date_type": "published", "publication": "Photonics Research", "volume": "10", "number": "5", "publisher": "Optica Publishing Group", "pagerange": "A66-A73", "id_number": "CaltechAUTHORS:20220727-412689800", "issn": "2327-9125", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20220727-412689800", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/prj.437518", "primary_object": { "basename": "2108.10223.pdf", "url": "https://authors.library.caltech.edu/records/dqda3-kkd62/files/2108.10223.pdf" }, "related_objects": [ { "basename": "prj-10-5-A66.pdf", "url": "https://authors.library.caltech.edu/records/dqda3-kkd62/files/prj-10-5-A66.pdf" } ], "resource_type": "article", "pub_year": "2022", "author_list": "Khachaturian, Aroutin; Fatemi, Reza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7c5qy-6gj46", "eprint_id": 114069, "eprint_status": "archive", "datestamp": "2023-08-22 14:39:50", "lastmod": "2023-10-23 17:59:02", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sideris-Constantine", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Khachaturian-Aroutin", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "White-Alexander-D", "name": { "family": "White", "given": "Alexander D." }, "orcid": "0000-0002-5387-310X" }, { "id": "Bruno-O-P", "name": { "family": "Bruno", "given": "Oscar P." }, "orcid": "0000-0001-8369-3014" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Foundry-fabricated grating coupler demultiplexer inverse-designed via fast integral methods", "ispublished": "pub", "full_text_status": "public", "keywords": "Integrated optics; Nanophotonics and plasmonics; Silicon photonics", "note": "\u00a9 The Author(s) 2022. This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons license, and indicate if changes were made. The images or other third party material in this article are included in the article's Creative Commons license, unless indicated otherwise in a credit line to the material. If material is not included in the article's Creative Commons license and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this license, visit http://creativecommons.org/licenses/by/4.0/. \n\nReceived 05 May 2021; Accepted 21 February 2022; Published 23 March 2022. \n\nO.P.B. gratefully acknowledges support from NSF under contracts DMS-1714169 and DMS-2109831, from AFOSR under contract FA9550-21-1-0373, from DARPA under contract HR00111720035, and from the NSSEFF Vannevar Bush Fellowship under contract number N00014-16-1-2808. C.S. gratefully acknowledges support by the National Science Foundation under contracts CCF-1849965 and CCF-2047433, and AFOSR under contract FA9550-20-1-0087. The authors gratefully acknowledge financial support from Caltech RI2 Program under contract CIT-2021RI2-1. \n\nData availability: The design parameters that characterize the proposed device as well as an alternate device requiring smaller minimum feature sizes, are included in the Supplementary Notes 2 and 4. The data used to produce the figures can be obtained upon reasonable request from the corresponding author. \n\nCode availability: The computer codes used for simulation and design are available from the corresponding author upon reasonable request. \n\nContributions: C.S. and O.P.B. developed the inverse design framework used, and utilized it to obtain the proposed design. C.S., A.K., A.D.W., and S.A.H. developed the testing methodology including incorporating additional test structures for decoupling the losses of the device itself from those of the measurement setup. A.K., A.D.W., and C.S. characterized the fabricated design experimentally. C.S., A.K., and O.P.B. analyzed the measurement results and compared them against numerical simulations. All authors contributed to the preparation and review of the manuscript. \n\nThe authors declare no competing interests. \n\nPeer review information: Communications Physics thanks Ke Xu, Daniele Melati, and the other, anonymous, reviewer(s) for their contribution to the peer review of this work. Peer reviewer reports are available.\n\nSideris, C., Khachaturian, A., White, A.D. et al. Author Correction: Foundry-fabricated grating coupler demultiplexer inverse-designed via fast integral methods. Commun Phys 5, 98 (2022). https://doi.org/10.1038/s42005-022-00877-4\n\nPublished - s42005-022-00839-w.pdf
Supplemental Material - 42005_2022_839_MOESM1_ESM.pdf
Supplemental Material - 42005_2022_839_MOESM2_ESM.pdf
Erratum - s42005-022-00877-4.pdf
", "abstract": "Silicon photonics is an emerging technology which, enabling nanoscale manipulation of light on chips, impacts areas as diverse as communications, computing, and sensing. Wavelength division multiplexing is commonly used to maximize throughput over a single optical channel by modulating multiple data streams on different wavelengths concurrently. Traditionally, wavelength (de)multiplexers are implemented as monolithic devices, separate from the grating coupler, used to couple light into the chip. This paper describes the design and measurement of a grating coupler demultiplexer\u2014a single device which combines both light coupling and demultiplexing capabilities. The device was designed by means of a custom inverse design algorithm which leverages boundary integral Maxwell solvers of extremely rapid convergence as the mesh is refined. To the best of our knowledge, the fabricated device enjoys the lowest insertion loss reported for grating demultiplexers, small size, high splitting ratio, and low coupling-efficiency imbalance between ports, while meeting the fabricability constraints of a standard UV lithography process.", "date": "2022-03-23", "date_type": "published", "publication": "Communications Physics", "volume": "5", "publisher": "Nature Publishing Group", "pagerange": "Art. No. 68", "id_number": "CaltechAUTHORS:20220324-224059015", "issn": "2399-3650", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20220324-224059015", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "NSF", "grant_number": "DMS-1714169" }, { "agency": "NSF", "grant_number": "DMS-2109831" }, { "agency": "Air Force Office of Scientific Research (AFOSR)", "grant_number": "FA9550-21-1-0373" }, { "agency": "Defense Advanced Research Projects Agency (DARPA)", "grant_number": "HR00111720035" }, { "agency": "Vannevar Bush Fellowship" }, { "agency": "National Security Science and Engineering Faculty Fellowship", "grant_number": "N00014-16-1-2808" }, { "agency": "NSF", "grant_number": "CCF-1849965" }, { "agency": "NSF", "grant_number": "CCF-2047433" }, { "agency": "Air Force Office of Scientific Research (AFOSR)", "grant_number": "FA9550-20-1-0087" }, { "agency": "Rothenberg Innovation Initiative (RI2)", "grant_number": "CIT-2021RI2-1" } ] }, "doi": "10.1038/s42005-022-00839-w", "primary_object": { "basename": "42005_2022_839_MOESM1_ESM.pdf", "url": "https://authors.library.caltech.edu/records/7c5qy-6gj46/files/42005_2022_839_MOESM1_ESM.pdf" }, "related_objects": [ { "basename": "42005_2022_839_MOESM2_ESM.pdf", "url": "https://authors.library.caltech.edu/records/7c5qy-6gj46/files/42005_2022_839_MOESM2_ESM.pdf" }, { "basename": "s42005-022-00839-w.pdf", "url": "https://authors.library.caltech.edu/records/7c5qy-6gj46/files/s42005-022-00839-w.pdf" }, { "basename": "s42005-022-00877-4.pdf", "url": "https://authors.library.caltech.edu/records/7c5qy-6gj46/files/s42005-022-00877-4.pdf" } ], "resource_type": "article", "pub_year": "2022", "author_list": "Sideris, Constantine; Khachaturian, Aroutin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/44ft3-jg113", "eprint_id": 113484, "eprint_status": "archive", "datestamp": "2023-08-20 06:12:18", "lastmod": "2023-10-23 15:23:34", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fikes-Austin-C", "name": { "family": "Fikes", "given": "Austin" }, "orcid": "0000-0003-4889-5782" }, { "id": "Mizrahi-Oren-S", "name": { "family": "Mizrahi", "given": "Oren S." }, "orcid": "0000-0003-4271-8822" }, { "id": "Truong-Alan", "name": { "family": "Truong", "given": "Alan" } }, { "id": "Wiesem\u00fcller-Fabian", "name": { "family": "Wiesem\u00fcller", "given": "Fabian" } }, { "id": "Pellegrino-S", "name": { "family": "Pellegrino", "given": "Sergio" }, "orcid": "0000-0001-9373-3278" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Fully Collapsible Lightweight Dipole Antennas", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2021 IEEE.", "abstract": "Flexible, deployable phased arrays enable novel and diverse applications but necessitate similarly flexible radiators. Here we present a light and flexible 10GHz dipole antenna, which is co-cured to a glass-fiber composite and suited for flexible phased arrays. The antennas are designed to dynamically conform to new array shapes and be flexible enough to fold completely flat and pop back up upon deployment. We employ a pop-up dipole with a capacitive fingers feed for impedance matching that is highly robust against manufacturing errors. Upon deployment, the antennas exhibit a \u221210 dB-bandwidth >1.5 GHz and >110\u00b0 half-power beam width single lobe pattern suitable for beamforming.", "date": "2021-12-04", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "545-546", "id_number": "CaltechAUTHORS:20220217-686367000", "isbn": "978-1-7281-4670-6", "book_title": "2021 IEEE International Symposium on Antennas and Propagation and USNC-URSI Radio Science Meeting (APS/URSI)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20220217-686367000", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "local_group": { "items": [ { "id": "GALCIT" }, { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/aps/ursi47566.2021.9704302", "resource_type": "book_section", "pub_year": "2021", "author_list": "Fikes, Austin; Mizrahi, Oren S.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hbm88-hza38", "eprint_id": 113046, "eprint_status": "archive", "datestamp": "2023-08-20 06:08:39", "lastmod": "2023-10-23 15:37:38", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Khachaturian-Aroutin", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Porsandeh-Khial-Parham", "name": { "family": "Porsandeh Khial", "given": "Parham" }, "orcid": "0000-0002-3242-8541" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Compact, Low-Drive-Voltage Mach-Zehnder Modulator Using Serially-Coupled Rings", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2021 IEEE. \n\nThe authors would like to thank Behrooz Abiri and Reza Fatemi for their valuable discussion on integrated silicon photonics modulators.", "abstract": "A novel, low-drive-voltage, and compact modulator using serially-coupled ring resonators in a Mach-Zehnder interferometer (MZI) linearly reduces the modulator's energy consumption as the number of rings in the chain increases. Such an MZI with three serially-coupled rings is invested for typical silicon photonics platforms.", "date": "2021-12", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1-2", "id_number": "CaltechAUTHORS:20220121-11745000", "isbn": "978-1-6654-2224-6", "book_title": "2021 IEEE 17th International Conference on Group IV Photonics (GFP)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20220121-11745000", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/gfp51802.2021.9673938", "resource_type": "book_section", "pub_year": "2021", "author_list": "Khachaturian, Aroutin; Porsandeh Khial, Parham; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/cfedq-42j80", "eprint_id": 111751, "eprint_status": "archive", "datestamp": "2023-08-20 05:23:46", "lastmod": "2023-10-23 20:43:16", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fikes-Austin", "name": { "family": "Fikes", "given": "Austin" }, "orcid": "0000-0003-4889-5782" }, { "id": "Mizrahi-Oren-S", "name": { "family": "Mizrahi", "given": "Oren S." }, "orcid": "0000-0003-4271-8822" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Framework for Array Shape Reconstruction Through Mutual Coupling", "ispublished": "pub", "full_text_status": "public", "keywords": "Conformal antennas, convex optimization, Euclidean distance matrix (EDM), flexible electronics, integrated circuits, phased array, semidefinite programming, shape calibration, shape reconstruction", "note": "\u00a9 2021 IEEE. This work is licensed under a Creative Commons Attribution-NonCommercial-NoDerivatives 4.0 License. For more information, see https://creativecommons.org/licenses/by-nc-nd/4.0/. \n\nManuscript received April 12, 2021; revised June 20, 2021; accepted June 22, 2021. Date of publication July 27, 2021; date of current version October 5, 2021. \n\nThis work was supported in part by the Caltech Space Solar\nPower Project and in part by the Caltech Electrical Engineering Fellowship. \n\nThe authors would like to thank Behrooz Abiri, Florian\nBohn, Matan Gal, Mohammad Reza Hashemi, and Mohith\nManohara who have helped develop flexible phased array\ninfrastructure in the Caltech Holistic Integrated Circuits\nLaboratory.\n\nPublished - A_Framework_for_Array_Shape_Reconstruction_Through_Mutual_Coupling.pdf
", "abstract": "Flexible phased arrays potentially enable diverse applications not permitted by rigid systems; however, they introduce ambiguity in antenna element positions. If this position ambiguity can be overcome, flexible arrays can perform the full suite of array functions: beam steering, wavefront engineering, and beam focusing. Furthermore, shape reconstructions of arrays can be used for applications beyond beamforming. We propose a framework to reconstruct the shape of a flexible array that only uses mutual coupling measurements and does not require additional sensors or functionalities in the system. We discuss the approach, a two-step algorithm, which is highly modular and can be implemented in a variety of phased array systems. To demonstrate the accuracy of the approach, we present results from two passive 2.5-GHz phased array setups using dipole and patch antennas, as well as a 10-GHz (active) integrated circuit flexible phased array, and demonstrate the accuracy of the approach in this system. In all cases, the algorithm reconstructs the antenna shape accurately, with average position errors of approximately 6% of the wavelength. This article can serve as the beginning of the broad study of shape reconstruction algorithms and their applications.", "date": "2021-10", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "69", "number": "10", "publisher": "Institute of Electrical and Electronics Engineers", "pagerange": "4422-4436", "id_number": "CaltechAUTHORS:20211104-153445242", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20211104-153445242", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Division of Engineering and Applied Science" }, { "agency": "Space Solar Power Project" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/tmtt.2021.3097729", "primary_object": { "basename": "A_Framework_for_Array_Shape_Reconstruction_Through_Mutual_Coupling.pdf", "url": "https://authors.library.caltech.edu/records/cfedq-42j80/files/A_Framework_for_Array_Shape_Reconstruction_Through_Mutual_Coupling.pdf" }, "resource_type": "article", "pub_year": "2021", "author_list": "Fikes, Austin; Mizrahi, Oren S.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/cd6sp-5ek98", "eprint_id": 112522, "eprint_status": "archive", "datestamp": "2023-08-22 11:15:01", "lastmod": "2023-10-23 17:37:46", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Khachaturian-Aroutin", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Fatemi-Reza", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "IQ Photonic Receiver for Coherent Imaging With a Scalable Aperture", "ispublished": "pub", "full_text_status": "public", "keywords": "Coherent imager, silicon photonics, LiDAR, IQ receiver; General Medicine", "note": "\u00a9 2021 The Author(s). This work is licensed under a Creative Commons Attribution 4.0 License. \n\nReceived 21 July 2021; revised 10 September 2021; accepted 11 September 2021. Date of publication 17 September 2021; date of current version 10 December 2021. \n\nThe authors would like to acknowledge Behrooz Abiri, Parham Porsandeh Khial, and Samir V. Nooshabadi for their valuable input and discussions\n\nPublished - IQ_Photonic_Receiver_for_Coherent_Imaging_With_a_Scalable_Aperture.pdf
Submitted - 2108.10225.pdf
", "abstract": "Silicon photonics (SiP) integrated coherent image sensors offer higher sensitivity and improved range-resolution-product compared to direct detection image sensors such as CCD and CMOS devices. Previous generations of SiP coherent imagers suffer from relative optical phase fluctuations between the signal and reference paths, which results in random phase and amplitude fluctuations in the output signal. This limitation negatively impacts the SNR and signal acquisition times. Here, we present a coherent imager system that suppresses the optical carrier signal and removes non-idealities from the relative optical path using a photonic in-phase (I) and quadrature (Q) receiver via a 90\u00b0 hybrid detector. Furthermore, we incorporate row-column read-out and row-column addressing schemes to address the electro-optical interconnect density challenge. Our novel row-column read-out architecture for the sensor array requires only 2N interconnects for N\u00b2 sensors. An 8\u00d78 IQ sensor array is presented as a proof-of-concept demonstration with 1.2\u00d710\u207b\u2075 resolution over range accuracy. Free-space FMCW ranging with 250\u03bcm resolution at 1 m distance has been demonstrated using this sensor array.", "date": "2021-09-17", "date_type": "published", "publication": "IEEE Open Journal of the Solid-State Circuits Society", "volume": "1", "publisher": "Institute of Electrical and Electronics Engineers", "pagerange": "263-270", "id_number": "CaltechAUTHORS:20211217-98205000", "issn": "2644-1349", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20211217-98205000", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ojsscs.2021.3113264", "primary_object": { "basename": "2108.10225.pdf", "url": "https://authors.library.caltech.edu/records/cd6sp-5ek98/files/2108.10225.pdf" }, "related_objects": [ { "basename": "IQ_Photonic_Receiver_for_Coherent_Imaging_With_a_Scalable_Aperture.pdf", "url": "https://authors.library.caltech.edu/records/cd6sp-5ek98/files/IQ_Photonic_Receiver_for_Coherent_Imaging_With_a_Scalable_Aperture.pdf" } ], "resource_type": "article", "pub_year": "2021", "author_list": "Khachaturian, Aroutin; Fatemi, Reza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/6zjk5-1nj75", "eprint_id": 107088, "eprint_status": "archive", "datestamp": "2023-08-20 03:55:00", "lastmod": "2023-10-20 23:22:23", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Abiri-Behrooz", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Bohn-Florian", "name": { "family": "Bohn", "given": "Florian" }, "orcid": "0000-0002-4619-378X" }, { "id": "Gal-Katziri-Matan", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Manohara-Mohith-H", "name": { "family": "Manohara", "given": "Mohith H." }, "orcid": "0000-0003-1180-8470" } ] }, "title": "Dynamic Focusing of Large Arrays for Wireless Power Transfer and Beyond", "ispublished": "pub", "full_text_status": "public", "keywords": "Calibration, dynamic refocusing, orthogonal basis, phased array, power focusing, pseudo-Hadamard matrices, pseudo-orthogonal bases, RF lensing, wireless power transfer at a distance (WPT-AD), wireless power transfer", "note": "\u00a9 2021 IEEE. This work is licensed under a Creative Commons Attribution-NonCommercial-NoDerivatives 4.0 License. \n\nManuscript received June 26, 2020; revised September 30, 2020; accepted November 2, 2020. Date of publication November 25, 2020; date of current version June 29, 2021. \n\nThis work was supported in part by Caltech's Space Solar Power Project (SSPP). This article was approved by Associate Editor Pietro Andreani. \n\nThe authors would like to thank N. Chua, A. Fikes, R. Ghazarian, C. Keller, F. Tebbi, and D. Yue for their assistance with certain aspects of system programming, assembly, and evaluation. They also appreciate helpful comments and discussion by A. Ayling, A. Fikes, B.V Gurses, C. Ives, D. Sarkar, and E. Williams. The authors would also like to acknowledge the original contributions of Prof. K. Sengupta to the concept of RF lensing. They are also indebted to the anonymous reviewers and the associate editor whose thorough and thoughtful feedback noticeably improved this manuscript. The analysis of RF lensing, the multi-element focusing algorithm based on an orthogonal basis, the secondary volumetric refocusing, and pseudo Hadamard matrices were developed by A. Hajimiri. The hardware architecture was conceived and designed by A. Hajimiri, B. Abiri, F. Bohn, and M. Gal-Katziri. The IC was designed by B. Abiri, F. Bohn, and M. Gal-Katziri with input from A. Hajimiri. The RU was designed and implemented by B. Abiri. The emulator was conceived and implemented by A. Hajimiri and M. H. Manohara.\n\nPublished - 09270598.pdf
", "abstract": "We present architectures, circuits, and algorithms for dynamic 3-D lensing and focusing of electromagnetic power in radiative near- and far-field regions by arrays that can be arbitrary and nonuniform. They can benefit applications such as wireless power transfer at a distance (WPT-AD), volumetric sensing and imaging, high-throughput communications, and optical phased arrays. Theoretical limits on system performance are calculated. An adaptive algorithm focuses the power at the receiver(s) without prior knowledge of its location(s). It uses orthogonal bases to change the phases of multiple elements simultaneously to enhance the dynamic range. One class of such 2-D orthogonal and pseudo-orthogonal masks is constructed using the Hadamard and pseudo-Hadamard matrices. Generation and recovery units (GU and RU) work collaboratively to focus energy quickly and reliably with no need for factory calibration. Orthogonality enables batch processing in high-latency and low-rate communication settings. Secondary vector-based calculations allow instantaneous refocusing at different locations using element-wise calculations. An emulator enables further evaluation of the system. We demonstrate modular WPT-AD GUs of up to 400 elements utilizing arrays of 65-nm CMOS ICs to focus power on RUs that convert the RF power to dc. Each RFIC synthesizes 16 independently phase-controlled RF outputs around 10 GHz from a common single low-frequency reference. Detailed measurements demonstrate the feasibility and effectiveness of RF lensing techniques presented in this article. More than 2 W of dc power can be recovered through a wireless transfer at distances greater than 1 m. The system can dynamically project power at various angles and at distances greater than 10 m. These developments are another step toward unified wireless power, sensing, and communication solutions in the future.", "date": "2021-07", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "56", "number": "7", "publisher": "IEEE", "pagerange": "2077-2101", "id_number": "CaltechAUTHORS:20201215-141035798", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20201215-141035798", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Space Solar Power Project" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/JSSC.2020.3036895", "primary_object": { "basename": "09270598.pdf", "url": "https://authors.library.caltech.edu/records/6zjk5-1nj75/files/09270598.pdf" }, "resource_type": "article", "pub_year": "2021", "author_list": "Hajimiri, Ali; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/kqdm1-3k663", "eprint_id": 110414, "eprint_status": "archive", "datestamp": "2023-08-20 03:38:06", "lastmod": "2023-10-23 19:38:56", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gal-Katziri-Matan", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Ives-Craig-E", "name": { "family": "Ives", "given": "Craig" } }, { "id": "Khakpour-Armina", "name": { "family": "Khakpour", "given": "Armina" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 28 GHz Optically Synchronized CMOS Phased Array with an Integrated Photodetector", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "phased arrays, CMOS, injection-locked oscillators, optoelectronics, photodiodes, silicon photonics", "note": "\u00a9 2021 IEEE.", "abstract": "This paper presents a modular 28 GHz phased array. Each of its 2\u00d74-modules is driven by a CMOS RFIC, whose RF output is optically synchronized using a fully integrated silicon photodiode. The photocurrent is amplified using a tuned injection-locked TIA to serve as the reference for an on-chip synthesizer, which generates and distributes the RF signal to the PAs that drive the antennas. We demonstrate beam steering, data transmission, and synchronization of array modules up to 25m away from the clock source.", "date": "2021-06-07", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "111-114", "id_number": "CaltechAUTHORS:20210825-145535372", "isbn": "978-1-6654-2549-0", "book_title": "2021 IEEE Radio Frequency Integrated Circuits Symposium (RFIC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20210825-145535372", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/rfic51843.2021.9490452", "resource_type": "book_section", "pub_year": "2021", "author_list": "Gal-Katziri, Matan; Ives, Craig; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jqsjs-sgp50", "eprint_id": 112708, "eprint_status": "archive", "datestamp": "2023-08-20 03:38:14", "lastmod": "2023-10-23 22:42:51", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Mizrahi-Oren-S", "name": { "family": "Mizrahi", "given": "Oren S." }, "orcid": "0000-0003-4271-8822" }, { "id": "Fikes-Austin", "name": { "family": "Fikes", "given": "Austin" }, "orcid": "0000-0003-4889-5782" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Flexible Phased Array Shape Reconstruction", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Signal Processing, Flexible Electronics, Phased Array, Shape Sensing, EDM", "note": "\u00a9 2021 IEEE. \n\nThe authors would like to thank Mohith Manohara for his help building flexible phased array shape calibration infrastructure in the Caltech Holistic Integrated Circuits lab.", "abstract": "Lightweight, flexible phased arrays enable new applications by dynamically changing shape during deployment and operation. However, these shape changes must be tracked and accounted for by changing the element excitation in order for the array to continue proper operation. We propose a framework for reconstructing the shape of a flexible phased array using only measurements of mutual coupling between the antennas in the array. The framework is demonstrated using a passive 2.5 GHz phased array and fixed to 8 different frames of known radii of convex and concave curvature. Our results show the ability to reconstruct shape to within \u2248 0.04\u03bb position error, even in cases where the phased array is bent dramatically, without any advanced knowledge or additional information. The framework is modular and can be easily adapted to other phased array systems with different antennas, frequencies, and physical constraints.", "date": "2021-06-07", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "31-33", "id_number": "CaltechAUTHORS:20220105-101236400", "isbn": "978-1-6654-0307-8", "book_title": "2021 IEEE MTT-S International Microwave Symposium (IMS)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20220105-101236400", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ims19712.2021.9574941", "resource_type": "book_section", "pub_year": "2021", "author_list": "Mizrahi, Oren S.; Fikes, Austin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/6k6e0-prt13", "eprint_id": 107469, "eprint_status": "archive", "datestamp": "2023-08-20 02:02:32", "lastmod": "2023-10-23 15:55:46", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fikes-Austin", "name": { "family": "Fikes", "given": "Austin" }, "orcid": "0000-0003-4889-5782" }, { "id": "Khial-Parham-P", "name": { "family": "Khial", "given": "Parham P." }, "orcid": "0000-0002-3242-8541" }, { "id": "Nooshabadi-Samir", "name": { "family": "Nooshabadi", "given": "Samir" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Programmable Active Mirror: A Scalable Decentralized Router", "ispublished": "pub", "full_text_status": "public", "keywords": "Aperture, integrated circuits, phased array, scalable relay, true time delay (TTD)", "note": "\u00a9 2020 IEEE. This work is licensed under a Creative Commons Attribution-NonCommercial-NoDerivatives 4.0 License. \n\nManuscript received August 28, 2020; revised November 13, 2020; accepted November 16, 2020. Date of publication December 22, 2020; date of current version March 4, 2021. \n\nThe authors would like to thank B. Abiri for his contribution to the integrated circuit digital interface and the members of the Caltech Holistic Integrated Circuits Lab for their help in determining a clear and complete approach for presenting this material.\n\nPublished - 09303454.pdf
", "abstract": "This work proposes and demonstrates the scalable router array that eliminates the internal centralization of conventional arrays, unlocking scalability, and the potential for a system composed of spatially separated elements that do not share a common timing reference. Architectural variations are presented, and their specific tradeoffs are discussed. The general operation, steering capabilities, signal and noise considerations, and timing control advantages are evaluated through analysis, simulation, and measurements. An element-level CMOS radio frequency integrated circuit (RFIC) is developed and used to demonstrate a four-element 25 GHz prototype router. The RFIC's programmable true time delay (TTD) control is used to correct path-length-difference-induced intersymbol interference (ISI) and improve a rerouted 270-Mb/s 64-QAM constellation from a completely scrambled state to an EVM of 4% rms (\u221228 dB). The prototype scalable router's concurrent dual-beam capabilities are demonstrated by simultaneously steering two full power beams at 24.9 and 25 GHz in two different directions in a free-space electromagnetic setup.", "date": "2021-03", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "69", "number": "3", "publisher": "IEEE", "pagerange": "1860-1874", "id_number": "CaltechAUTHORS:20210113-163505538", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20210113-163505538", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/tmtt.2020.3042516", "primary_object": { "basename": "09303454.pdf", "url": "https://authors.library.caltech.edu/records/6k6e0-prt13/files/09303454.pdf" }, "resource_type": "article", "pub_year": "2021", "author_list": "Fikes, Austin; Khial, Parham P.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/fgyxk-ff673", "eprint_id": 108003, "eprint_status": "archive", "datestamp": "2023-08-20 01:26:43", "lastmod": "2023-10-23 16:23:52", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fatemi-Reza", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Ives-Craig-E", "name": { "family": "Ives", "given": "Craig" } }, { "id": "Khachaturian-Aroutin", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Subtractive photonics", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2021 Optical Society of America under the terms of the OSA Open Access Publishing Agreement. \n\nReceived 18 Sep 2020; revised 29 Nov 2020; accepted 16 Dec 2020; published 5 Jan 2021. \n\nThe authors declare no conflicts of interest.\n\nPublished - oe-29-2-877.pdf
", "abstract": "Realization of a multilayer photonic process, as well as co-integration of a large number of photonic and electronic components on a single substrate, presents many advantages over conventional solutions and opens a pathway for various novel architectures and applications. Despite the many potential advantages, realization of a complex multilayer photonic process compatible with low-cost CMOS platforms remains challenging. In this paper, a photonic platform is investigated that uses subtractively manufactured structures to fabricate such systems. These structures are created solely using simple post-processing methods, with no modification to the foundry process. This method uses the well-controlled metal layers of advanced integrated electronics as sacrificial layers to define dielectric shapes as optical components. Metal patterns are removed using an etching process, leaving behind a complex multilayer photonic system, while keeping the electronics'metal wiring intact. This approach can be applied to any integrated chip with well-defined metallization, including those produced in pure electronics processes, pure photonics processes, heterogeneously integrated processes, monolithic electronic-photonic processes, etc. This paper provides a proof-of-concept example of monolithic electronic-photonic integration in a 65\u2009nm bulk CMOS process and demonstrates proof-of-concept photonic structures. The fabrication results, characterization, and measurement data are presented.", "date": "2021-01-18", "date_type": "published", "publication": "Optics Express", "volume": "29", "number": "2", "publisher": "Optical Society of America", "pagerange": "877-893", "id_number": "CaltechAUTHORS:20210211-102546915", "issn": "1094-4087", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20210211-102546915", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/oe.410139", "primary_object": { "basename": "oe-29-2-877.pdf", "url": "https://authors.library.caltech.edu/records/fgyxk-ff673/files/oe-29-2-877.pdf" }, "resource_type": "article", "pub_year": "2021", "author_list": "Fatemi, Reza; Ives, Craig; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ecawf-37x45", "eprint_id": 105771, "eprint_status": "archive", "datestamp": "2023-08-20 01:11:51", "lastmod": "2023-10-20 22:24:44", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fatemi-R", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Khial-P-P", "name": { "family": "Khial", "given": "Parham P." }, "orcid": "0000-0002-3242-8541" }, { "id": "Khachaturian-A", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Breaking FOV-Aperture Trade-Off with Multi-Mode Nano-Photonic Antennas", "ispublished": "pub", "full_text_status": "public", "keywords": "Phased arrays, nanotechnology, antenna arrays, antenna efficiency, antenna gain, antenna radiation patterns, antenna theory, antennas, aperture efficiency, optical arrays", "note": "\u00a9 2020 IEEE. This work is licensed under a Creative Commons Attribution 4.0 License. For more information, see https://creativecommons.org/licenses/by/4.0/. \n\nManuscript received May 28, 2020; revised July 24, 2020 and September 15, 2020; accepted September 16, 2020. Date of publication September 25, 2020; date of current version October 15, 2020.\n\nPublished - 09206075.pdf
", "abstract": "Nano-photonic antennas are one of the key components in integrated photonic transmitter and receiver systems. Conventionally, grating couplers, designed to couple into an optical fiber, suffering from limitations such as large footprint and small field-of-view (FOV) have been used as on-chip antennas. The challenge of the antenna design is more pronounced for the receiver systems, where both the collected power by the antenna and its FOV often need to be maximized. While some novel solutions have been demonstrated recently, identifying fundamental limits and trade-offs in nano-photonic antenna design is essential for devising compact antenna structures with improved performance. In this paper, the fundamental electromagnetic limits, as well as fabrication imposed constraints on improving antenna effective aperture and FOV are studied, and approximated performance upper limits are derived and quantified. By deviating from the conventional assumptions leading to these limits, high-performance multi-mode antenna structures with performance characteristics beyond the conventional perceived limits are demonstrated. Finally, the application of a pillar multi-mode antenna in a dense array is discussed, an antenna array with more than 95% collection efficiency and 170\u2218 FOV is demonstrated, and a coherent receiving system utilizing such an aperture is presented.", "date": "2021-01", "date_type": "published", "publication": "IEEE Journal of Selected Topics in Quantum Electronics", "volume": "27", "number": "1", "publisher": "IEEE", "pagerange": "Art. No. 6100614", "id_number": "CaltechAUTHORS:20201002-151459041", "issn": "1077-260X", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20201002-151459041", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Rothenberg Innovation Initiative (RI2)" } ] }, "doi": "10.1109/jstqe.2020.3026966", "primary_object": { "basename": "09206075.pdf", "url": "https://authors.library.caltech.edu/records/ecawf-37x45/files/09206075.pdf" }, "resource_type": "article", "pub_year": "2021", "author_list": "Fatemi, Reza; Khial, Parham P.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/zt63z-d7141", "eprint_id": 107927, "eprint_status": "archive", "datestamp": "2023-08-20 01:16:41", "lastmod": "2023-10-23 16:20:06", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Williams-D-Elliott", "name": { "family": "Williams", "given": "D. Elliott" } }, { "id": "Dorn-Charles", "name": { "family": "Dorn", "given": "Charles" }, "orcid": "0000-0001-6516-2586" }, { "id": "Pellegrino-S", "name": { "family": "Pellegrino", "given": "Sergio" }, "orcid": "0000-0001-9373-3278" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Origami-Inspired Shape-Changing Phased Array", "ispublished": "unpub", "full_text_status": "public", "keywords": "phased arrays, origami, adaptive arrays, aperture, patch antennas, integrated circuits", "note": "\u00a9 2020 EuMA. \n\nThe authors thank Rogers Corp. for providing substrate materials and R. Lang, B. Abiri, D. Hodge, and Y. Li for their help. This work was supported in part by the MURI Grant\nFA9550-16-1-0566 via AFOSR.\n\nPublished - 09338189.pdf
", "abstract": "In situ geometric reconfiguration of a phased array increases the diversity of radiation patterns that can be synthesized by the array. Such shape-changing phased arrays enable new applications by dynamically conforming their shapes to the geometry best suited for a given task. This work presents the design and demonstration of an origami-inspired shape-changing array built out of identical radiating tiles held in place by a mechanical backbone. The array is capable of shifting into planar, spherical, and cylindrical configurations. The benefits of such an array are analyzed by comparing the properties of different geometries and verified with measurements of the first origami-inspired shape-changing phased array.", "date": "2021-01", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "344-347", "id_number": "CaltechAUTHORS:20210205-093044804", "isbn": "9782874870590", "book_title": "2020 50th European Microwave Conference (EuMC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20210205-093044804", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Office of Scientific Research (AFOSR)", "grant_number": "FA9550-16-1-0566" } ] }, "local_group": { "items": [ { "id": "GALCIT" } ] }, "doi": "10.23919/eumc48046.2021.9338189", "primary_object": { "basename": "09338189.pdf", "url": "https://authors.library.caltech.edu/records/zt63z-d7141/files/09338189.pdf" }, "resource_type": "book_section", "pub_year": "2021", "author_list": "Williams, D. Elliott; Dorn, Charles; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2y68k-vgh08", "eprint_id": 106089, "eprint_status": "archive", "datestamp": "2023-08-19 22:44:37", "lastmod": "2023-10-20 23:05:02", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gal-Katziri-M", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Fikes-A-C", "name": { "family": "Fikes", "given": "Austin" } }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hashemi-M-Reza", "name": { "family": "Hashemi", "given": "M. Reza" }, "orcid": "0000-0002-3312-4005" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Scalable, Deployable, Flexible Phased Array Sheets", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "flexible electronics, phased array, integrated circuits, calibration, wireless power transfer", "note": "\u00a9 2020 IEEE. \n\nThe authors would like to thank David Hodge for his help assembling the array and its test fixture. This work was funded by the Space Solar Power Project at Caltech.", "abstract": "Large aperture flexible and deployable phased arrays will enable active RF fabric and deployable array structures for terrestrial and space applications. The flexible phased array design paradigm is enabled by small, multi-function radio frequency integrated circuits and low mass radiators, which avoid conventional dielectric materials. This paper presents a 256 element, 30 cm x 30 cm flexible phased array, operating at 10 GHz and focusing 0.8 W at 2 m distance. A novel beam-focusing algorithm is used to demonstrate 2-D beam-steering and deformation correction capabilities of the flexible phased array.", "date": "2020-08", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1085-1088", "id_number": "CaltechAUTHORS:20201015-152732825", "isbn": "9781728168159", "book_title": "2020 IEEE/MTT-S International Microwave Symposium (IMS)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20201015-152732825", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/ims30576.2020.9224066", "resource_type": "book_section", "pub_year": "2020", "author_list": "Gal-Katziri, Matan; Fikes, Austin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/6sjsf-rw152", "eprint_id": 103517, "eprint_status": "archive", "datestamp": "2023-08-19 22:29:44", "lastmod": "2023-10-20 16:27:04", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Pai-Alex", "name": { "family": "Pai", "given": "Alex" } }, { "id": "Cao-Pengpeng", "name": { "family": "Cao", "given": "Pengpeng" } }, { "id": "White-E-E", "name": { "family": "White", "given": "Ethan E." } }, { "id": "Hong-Brian", "name": { "family": "Hong", "given": "Brian" }, "orcid": "0000-0001-8099-0312" }, { "id": "Pailevanian-T", "name": { "family": "Pailevanian", "given": "Torkom" } }, { "id": "Wang-Michelle", "name": { "family": "Wang", "given": "Michelle" } }, { "id": "Badie-B", "name": { "family": "Badie", "given": "Behnam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Berlin-J-M", "name": { "family": "Berlin", "given": "Jacob M." }, "orcid": "0000-0001-7498-766X" } ] }, "title": "Dynamically Programmable Magnetic Fields for Controlled Movement of Cells Loaded with Iron Oxide Nanoparticles", "ispublished": "pub", "full_text_status": "public", "keywords": "CAR T cell, dynamically programmable magnetic field, immunotherapy, iron oxide nanoparticles, macrophage, magnetic transport, neural stem cell", "note": "\u00a9 2020 American Chemical Society. \n\nReceived: February 27, 2020; Accepted: May 28, 2020; Published: May 28, 2020. \n\nWe gratefully acknowledge Marcia Miller, Zhuo Li, and Ricardo Zerda for electron microscopy performed in the EM core facility and Brian Armstrong, Loren Quintanar, and Tina Patel for their assistance with fluorescence imaging performed in the Light Microscopy and Digital Imaging Core. Research reported in this publication included work performed in the Electron Microscopy and the Light Microscopy and Digital Imaging Cores supported by the National Cancer Institute of the National Institutes of Health under award number P30CA033572. The content is solely the responsibility of the authors and does not necessarily represent the official views of the National Institutes of Health. The authors would like to thank R01CA155769, R21CA189223, R21 NS081594, NIH grant 51013.914960.6692, The Kenneth T. and Eileen L. Norris Foundation, and STOP Cancer for research funding. \n\nAuthor Contributions: B.B., A.H., and J.M.B. contributed equally to this work. \n\nThe authors declare no competing financial interest.\n\nSupplemental Material - mt0c00226_si_004.pdf
Supplemental Material - mt0c00226_si_005.avi
Supplemental Material - mt0c00226_si_006.avi
Supplemental Material - mt0c00226_si_007.avi
Supplemental Material - mt0c00226_si_008.avi
Supplemental Material - mt0c00226_si_009.mov
", "abstract": "Cell-based therapies are becoming increasingly prominent in numerous medical contexts, particularly in regenerative medicine and the treatment of cancer. However, since the efficacy of the therapy is largely dependent on the concentration of therapeutic cells at the treatment area, a major challenge associated with cell-based therapies is the ability to move and localize therapeutic cells within the body. In this article, a technique based on dynamically programmable magnetic fields is successfully demonstrated to noninvasively aggregate therapeutic cells at a desired location. Various types of therapeutically relevant cells (neural stem cells, monocytes/macrophages, and chimeric antigen receptor T cells) are loaded with iron oxide nanoparticles and then focused at a particular site using externally controlled electromagnets. These experimental results serve as a readily scalable prototype for designing an apparatus that patients can wear to focus therapeutic cells at the anatomical sites needed for treatment.", "date": "2020-07-20", "date_type": "published", "publication": "ACS Applied Bio Materials", "volume": "3", "number": "7", "publisher": "American Chemical Society", "pagerange": "4139-4147", "id_number": "CaltechAUTHORS:20200528-104303291", "issn": "2576-6422", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20200528-104303291", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "NIH", "grant_number": "P30CA033572" }, { "agency": "NIH", "grant_number": "R01CA155769" }, { "agency": "NIH", "grant_number": "R21CA189223" }, { "agency": "NIH", "grant_number": "R21NS081594" }, { "agency": "NIH", "grant_number": "51013.914960.6692" }, { "agency": "Kenneth T. and Eileen L. Norris Foundation" }, { "agency": "STOP Cancer" } ] }, "doi": "10.1021/acsabm.0c00226", "primary_object": { "basename": "mt0c00226_si_006.avi", "url": "https://authors.library.caltech.edu/records/6sjsf-rw152/files/mt0c00226_si_006.avi" }, "related_objects": [ { "basename": "mt0c00226_si_007.avi", "url": "https://authors.library.caltech.edu/records/6sjsf-rw152/files/mt0c00226_si_007.avi" }, { "basename": "mt0c00226_si_008.avi", "url": "https://authors.library.caltech.edu/records/6sjsf-rw152/files/mt0c00226_si_008.avi" }, { "basename": "mt0c00226_si_009.mov", "url": "https://authors.library.caltech.edu/records/6sjsf-rw152/files/mt0c00226_si_009.mov" }, { "basename": "mt0c00226_si_004.pdf", "url": "https://authors.library.caltech.edu/records/6sjsf-rw152/files/mt0c00226_si_004.pdf" }, { "basename": "mt0c00226_si_005.avi", "url": "https://authors.library.caltech.edu/records/6sjsf-rw152/files/mt0c00226_si_005.avi" } ], "resource_type": "article", "pub_year": "2020", "author_list": "Pai, Alex; Cao, Pengpeng; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/dtden-pmq53", "eprint_id": 102276, "eprint_status": "archive", "datestamp": "2023-08-19 21:10:43", "lastmod": "2023-10-20 00:02:12", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Parveg-D", "name": { "family": "Parveg", "given": "Dristy" }, "orcid": "0000-0002-9166-8764" }, { "id": "Varonen-M", "name": { "family": "Varonen", "given": "Mikko" }, "orcid": "0000-0002-6515-5092" }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Kangaslahti-P", "name": { "family": "Kangaslahti", "given": "Pekka" } }, { "id": "Kantanen-M", "name": { "family": "Kantanen", "given": "Mikko" } }, { "id": "Tikka-T", "name": { "family": "Tikka", "given": "Tero" } }, { "id": "Gaier-T", "name": { "family": "Gaier", "given": "Todd" } }, { "id": "Halonen-K-A-I", "name": { "family": "Halonen", "given": "Kari A. I." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An mm-Wave CMOS I-Q Subharmonic Resistive Mixer for Wideband Zero-IF Receivers", "ispublished": "pub", "full_text_status": "restricted", "keywords": "CMOS, differential mixer, I\u2013Q, IF integration, image rejection, millimeter-wave (mm-wave), mixer, millimeter-wave monolithic integrated circuit (MMIC), passive mixer, receiver, resistive mixer, subharmonic, quadrature", "note": "\u00a9 2020 IEEE. \n\nManuscript received February 10, 2020; revised March 4, 2020; accepted March 9, 2020. Date of publication March 30, 2020; date of current version May 8, 2020. \n\nThis work was supported in part by the Academy of Finland Projects through the MIDERI under Grant 310234, in part by the Finnish Funding Agency for Innovation (Business Finland) through the 5WAVE Project, and in part by the Jet Propulsion Laboratory (JPL), California Institute of Technology, through the National Aeronautics and Space Administration (NASA). \n\nThe measurements were carried out at Millilab, the Millimeter Wave Laboratory of Finland, and the external laboratory of European Space Agency (ESA).", "abstract": "In this letter, we propose a novel wideband subharmonically pumped fully differential I\u2013Q resistive mixer architecture, which eliminates the necessity for on-chip dc-blocking capacitors to integrate IF amplifiers. The proposed differential subharmonic mixer topology is verified by presenting a CMOS millimeter-wave monolithic integrated circuit (MMIC), which includes the mixer and two on-chip differential IF amplifiers at the mixer's I- and Q-channels. The 3-dB IF frequency bandwidth is measured from 0.01 to 5 GHz with a peak conversion gain (CG) of \u22122 dB and an image rejection ratio (IRR) of more than 25 dB over the IF frequency range. The proposed mixer covers the input signal (RF) frequency from 170 to 185 GHz. The mixer has also been tested with an on-chip voltage-controlled oscillator (VCO) and shows \u22124.7-dB CG with a 3-dB IF bandwidth from 0.01 to 4.5 GHz.", "date": "2020-05", "date_type": "published", "publication": "IEEE Microwave and Wireless Components Letters", "volume": "30", "number": "5", "publisher": "IEEE", "pagerange": "520-523", "id_number": "CaltechAUTHORS:20200402-141913396", "issn": "1531-1309", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20200402-141913396", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Academy of Finland", "grant_number": "310234" }, { "agency": "Finnish Funding Agency for Innovation" }, { "agency": "NASA/JPL/Caltech" } ] }, "doi": "10.1109/lmwc.2020.2980973", "resource_type": "article", "pub_year": "2020", "author_list": "Parveg, Dristy; Varonen, Mikko; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/psq03-x5843", "eprint_id": 102953, "eprint_status": "archive", "datestamp": "2023-08-19 20:22:13", "lastmod": "2023-10-20 00:39:30", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Townley-A", "name": { "family": "Townley", "given": "Andrew" } }, { "id": "Baniasadi-N", "name": { "family": "Baniasadi", "given": "Nima" } }, { "id": "Krishnamurthy-Sashank", "name": { "family": "Krishnamurthy", "given": "Sashank" } }, { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Alon-E", "name": { "family": "Alon", "given": "Elad" } }, { "id": "Niknejad-A", "name": { "family": "Niknejad", "given": "Ali" } } ] }, "title": "A Fully Integrated, Dual Channel, Flip Chip Packaged 113 GHz Transceiver in 28nm CMOS supporting an 80 Gb/s Wireless Link", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2020 IEEE. \n\nThis work was supported in part by the ComSenTer research center, under the JUMP program, a Semiconductor Research Corporation program sponsored by DARPA. The authors would also like to acknowledge TSMC for chip fabrication, Integrand Software for EMX electromagnetic simulation. Many thanks to Cyril Luxey and Diane Titz of University of Nice Sophia Antipolis for many helpful discussions regarding the antenna design. Finally, the authors would like to thank the BWRC sponsors, students, and staff.", "abstract": "In order to meet the demand for increasingly higher data rate wireless links, broad-bandwidth transceivers that support high-spectral-efficiency modulation schemes are required. In this paper, a mm-wave transceiver IC operating at 113GHz is demonstrated, achieving a single-channel data rate of 80Gb/s. The transceiver achieves a high level of integration, including LO generation circuitry, a bits-to-RF TX DAC, and two transceiver channels for polarization diversity. The chip is flip-chip packaged onto a PCB with two orthogonally polarized antennas.", "date": "2020-03", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1-4", "id_number": "CaltechAUTHORS:20200430-152742107", "isbn": "9781728160313", "book_title": "2020 IEEE Custom Integrated Circuits Conference (CICC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20200430-152742107", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Defense Advanced Research Projects Agency (DARPA)" } ] }, "doi": "10.1109/cicc48029.2020.9075890", "resource_type": "book_section", "pub_year": "2020", "author_list": "Townley, Andrew; Baniasadi, Nima; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/9tjrk-xgy56", "eprint_id": 101242, "eprint_status": "archive", "datestamp": "2023-08-19 19:51:49", "lastmod": "2023-10-19 22:34:04", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "White-A-D", "name": { "family": "White", "given": "Alexander" }, "orcid": "0000-0002-5387-310X" }, { "id": "Khial-P-P", "name": { "family": "Khial", "given": "Parham" }, "orcid": "0000-0002-3242-8541" }, { "id": "Salehi-F", "name": { "family": "Salehi", "given": "Fariborz" } }, { "id": "Hassibi-B", "name": { "family": "Hassibi", "given": "Babak" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Silicon Photonics Computational Lensless Active-Flat-Optics Imaging System", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2020 The Author(s). This article is licensed under a Creative Commons Attribution 4.0 International License, which permits use, sharing, adaptation, distribution and reproduction in any medium or format, as long as you give appropriate credit to the original author(s) and the source, provide a link to the Creative Commons license, and indicate if changes were made. The images or other third party material in this article are included in the article's Creative Commons license, unless indicated otherwise in a credit line to the material. If material is not included in the article's Creative Commons license and your intended use is not permitted by statutory regulation or exceeds the permitted use, you will need to obtain permission directly from the copyright holder. To view a copy of this license, visit http://creativecommons.org/licenses/by/4.0/. \n\nReceived 16 November 2019; Accepted 03 January 2020; Published 03 February 2020. \n\nAuthor Contributions: A.W., P.K. and A.H. conceived of and designed the imaging device. A.W., P.K., F.S., B.H. and A.H. designed the computational reconstruction algorithms. All authors contributed to writing the manuscript. \n\nCompeting interests: The authors have filed a patent application based on the research in this paper.\n\nPublished - s41598-020-58027-1.pdf
Supplemental Material - 41598_2020_58027_MOESM1_ESM.pdf
", "abstract": "The need for lightweight, miniature imaging systems is becoming increasingly prevalent in light of the development of wearable electronics, IoT devices, and drones. Computational imaging enables new types of imaging systems that replace standard optical components like lenses with cleverly designed computational processes. Traditionally, many of these types of systems use conventional complementary metal oxide semiconductor (CMOS) or charge coupled device (CCD) sensors for data collection. While this allows for rapid development of large-scale systems, the lack of system-sensor co-design limits the compactness and performance. Here we propose integrated photonics as a candidate platform for the implementation of such co-integrated systems. Using grating couplers and co-designed computational processing in lieu of a lens, we demonstrate the use of silicon photonics as a viable platform for computational imaging with a prototype lensless imaging device. The proof-of-concept device has 20 sensors and a 45-degree field of view, and its optics and sensors are contained within a 2,000 \u03bcm \u00d7 200 \u03bcm \u00d7 20 \u03bcm volume.", "date": "2020-02-03", "date_type": "published", "publication": "Scientific Reports", "volume": "10", "publisher": "Nature Publishing Group", "pagerange": "Art. No. 1689", "id_number": "CaltechAUTHORS:20200212-111715644", "issn": "2045-2322", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20200212-111715644", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1038/s41598-020-58027-1", "pmcid": "PMC6997425", "primary_object": { "basename": "41598_2020_58027_MOESM1_ESM.pdf", "url": "https://authors.library.caltech.edu/records/9tjrk-xgy56/files/41598_2020_58027_MOESM1_ESM.pdf" }, "related_objects": [ { "basename": "s41598-020-58027-1.pdf", "url": "https://authors.library.caltech.edu/records/9tjrk-xgy56/files/s41598-020-58027-1.pdf" } ], "resource_type": "article", "pub_year": "2020", "author_list": "White, Alexander; Khial, Parham; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/kdfym-b3b02", "eprint_id": 98883, "eprint_status": "archive", "datestamp": "2023-08-19 17:43:47", "lastmod": "2023-10-18 17:42:32", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Shen-Cheng", "name": { "family": "Shen", "given": "Cheng" }, "orcid": "0000-0001-7136-4715" }, { "id": "Chan-Antony-Chi-Shing", "name": { "family": "Chan", "given": "Antony Chi Shing" } }, { "id": "Chung-Jaebum", "name": { "family": "Chung", "given": "Jaebum" }, "orcid": "0000-0003-3932-8428" }, { "id": "Williams-D-E", "name": { "family": "Williams", "given": "D. Elliott" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Yang-Changhuei", "name": { "family": "Yang", "given": "Changhuei" }, "orcid": "0000-0001-8791-0354" } ] }, "title": "Computational aberration correction of VIS-NIR multispectral imaging microscopy based on Fourier ptychography", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2019 Optical Society of America under the terms of the OSA Open Access Publishing Agreement. \n\nReceived 4 Jun 2019; revised 2 Aug 2019; accepted 5 Aug 2019; published 19 Aug 2019. \n\nFunding: California Institute of Technology (Caltech Innovation Initiative (CII): 25570015). \n\nWe thank Hangwen Lu and Xiaoyu Liu for their initial efforts to this project, Ruizhi Cao for his constructive discussion and the generous help from Michelle Cua and Craig Ives.\n\nPublished - oe-27-18-24923.pdf
", "abstract": "Due to the chromatic dispersion properties inherent in all optical materials, even the best-designed multispectral objective will exhibit residual chromatic aberration. Here, we demonstrate a multispectral microscope with a computational scheme based on the Fourier ptychographic microscopy (FPM) to correct these effects in order to render undistorted, in-focus images. The microscope consists of 4 spectral channels ranging from 405 nm to 1552 nm. After the computational aberration correction, it can achieve isotropic resolution enhancement as verified with the Siemens star sample. We image a flip-chip to show the promise of our system to conduct fault detection on silicon chips. This computational approach provides a cost-efficient strategy for high quality multispectral imaging over a broad spectral range.", "date": "2019-09-02", "date_type": "published", "publication": "Optics Express", "volume": "27", "number": "18", "publisher": "Optical Society of America", "pagerange": "24923-24937", "id_number": "CaltechAUTHORS:20190926-144327410", "issn": "1094-4087", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190926-144327410", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Innovation Initiative (CI2)", "grant_number": "25570015" } ] }, "doi": "10.1364/oe.27.024923", "primary_object": { "basename": "oe-27-18-24923.pdf", "url": "https://authors.library.caltech.edu/records/kdfym-b3b02/files/oe-27-18-24923.pdf" }, "resource_type": "article", "pub_year": "2019", "author_list": "Shen, Cheng; Chan, Antony Chi Shing; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/b0yg2-18986", "eprint_id": 97901, "eprint_status": "archive", "datestamp": "2023-08-19 17:35:47", "lastmod": "2023-10-18 16:51:44", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Asghari-B", "name": { "family": "Asghari", "given": "Bahar" } }, { "id": "Hashemi-M-R-M", "name": { "family": "Hashemi", "given": "Mohammed Reza M." }, "orcid": "0000-0002-8908-0048" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Proximal-Field Sensing: In Situ Prediction of Far-Field Radiation for Integrated Radiators", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Antenna theory, electromagnetic (EM) radiation, EM techniques, integrated radiators, millimeter wave integrated circuits, on-chip antennas, sensors", "note": "\u00a9 2019 IEEE. \n\nManuscript received March 7, 2019; revised May 28, 2019; accepted June 19, 2019. Date of publication August 7, 2019; date of current version September 4, 2019. \n\nThis paper is an expanded version from the IEEE RFIC Symposium, Philadelphia, PA, USA, June 10\u201312, 2018.", "abstract": "Proximal-field sensing is introduced as an integrated sensing scheme for the in situ characterization of far-field radiation properties of integrated radiators, and the proximal-field radiation sensors (PFRSs) are proposed as the tools to enable this capability. These sensors are integrated on the same dielectric substrate as the transmitting antennas and extract the existing information in the excited substrate modes and use it to predict the far-field radiation properties in real time based on in situ field measurements in the close proximity of the transmitting antennas, without any need to use additional test equipment, remove the antenna from its operating environment, or interrupt its operation in a wireless system. The functionality of this sensing scheme is verified on both PCB and IC platforms through the implementation of two proof-of-concept prototypes, and different aspects of the electromagnetic and electronic design of the PFRS integration with integrated radiators are investigated.", "date": "2019-09", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "67", "number": "9", "publisher": "IEEE", "pagerange": "3743-3756", "id_number": "CaltechAUTHORS:20190814-152418722", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190814-152418722", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/tmtt.2019.2929154", "resource_type": "article", "pub_year": "2019", "author_list": "Safaripour, Amirreza; Asghari, Bahar; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/beeyt-tfj40", "eprint_id": 97004, "eprint_status": "archive", "datestamp": "2023-08-19 16:58:02", "lastmod": "2023-10-20 21:50:04", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hong-Brian", "name": { "family": "Hong", "given": "Brian" }, "orcid": "0000-0001-8099-0312" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A General Theory of Injection Locking and Pulling in Electrical Oscillators--Part I: Time-Synchronous Modeling and Injection Waveform Design", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Adler's equation, impulse sensitivity function (ISF), injection locking, injection pulling, lock characteristic, lock range, oscillator, synchronization", "note": "\u00a9 2019 IEEE. \n\nManuscript received November 17, 2018; revised February 20, 2019; accepted March 25, 2019. Date of current version July 23, 2019. \n\nThis paper was approved by Associate Editor Pietro Andreani. This work was supported by the Air Force Office of Scientific Research (AFOSR) under MURI Grant FA9550-16-1-0566. \n\nThe authors would like to thank P. Khial and R. Fatemi of the California Institute of Technology (Caltech) for technical discussions, M. Gal-Katziri and A. White of Caltech for extensive assistance with measurements, and M. Gal-Katziri for his design of the comparator used as the Schmitt trigger in the implementation of the fabricated Bose oscillator.", "abstract": "A general model of electrical oscillators under the influence of a periodic injection is presented. Stemming solely from the autonomy and periodic time variance inherent in all oscillators, the model's underlying approach makes no assumptions about the topology of the oscillator or the shape of the injection waveform. A single first-order differential equation is shown to be capable of predicting a number of important properties, including the lock range, the relative phase of an injection-locked oscillator, and mode stability. The framework also reveals how the injection waveform can be designed to optimize the lock range. A diverse collection of simulations and measurements, performed on various types of oscillators, serve to verify the proposed theory.", "date": "2019-08", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "54", "number": "8", "publisher": "IEEE", "pagerange": "2109-2121", "id_number": "CaltechAUTHORS:20190709-133258992", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190709-133258992", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Office of Scientific Research (AFOSR)", "grant_number": "FA9550-16-1-0566" } ] }, "doi": "10.1109/jssc.2019.2908753", "resource_type": "article", "pub_year": "2019", "author_list": "Hong, Brian and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/5gka5-51e69", "eprint_id": 97060, "eprint_status": "archive", "datestamp": "2023-08-19 16:58:11", "lastmod": "2023-10-20 21:53:57", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hong-Brian", "name": { "family": "Hong", "given": "Brian" }, "orcid": "0000-0001-8099-0312" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A General Theory of Injection Locking and Pulling in Electrical Oscillators--Part II: Amplitude Modulation in LC Oscillators, Transient Behavior, and Frequency Division", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Adler's equation, amplitude perturbation function (APF), dual-modulus prescaler, impulse sensitivity function (ISF), injection locking, injection pulling, injection-locked frequency divider (ILFD), lock characteristic, lock range, oscillator", "note": "\u00a9 2019 IEEE. \n\nManuscript received November 17, 2018; revised February 20, 2019; accepted March 25, 2019. Date of current version July 23, 2019. \n\nThis paper was approved by Associate Editor Pietro Andreani. This work was supported by the Air Force Office of Scientific Research (AFOSR) under MURI Grant FA9550-16-1-0566. \n\nThe authors would like to thank P. Khial and R. Fatemi of the California Institute of Technology (Caltech) for technical discussions, M. Gal-Katziri and A. White of Caltech for extensive assistance with measurements, and M. Gal-Katziri for his design of the comparator used as the Schmitt trigger in the implementation of the fabricated Bose oscillator. They would also like to thank A. Fikes of Caltech for his instrumental and diligent assistance with the measurement of the divider presented in Section VIII. His efforts included preparing the PCB, wirebonding the chip, and setting up all of the measurement equipment. Finally, they would like to thank B. Abiri of Caltech for his design of the LC QVCO used in Section VIII.", "abstract": "A number of specialized topics within the theory of injection locking and pulling are addressed. The material builds on our impulse sensitivity function (ISF)-based, time-synchronous model of electrical oscillators under the influence of a periodic injection. First, we show how the accuracy of this model for LC oscillators under large injection is greatly enhanced by accounting for the injection's effect on the oscillation amplitude. In doing so, we capture the asymmetry of the lock range as well as the distinct behaviors exhibited by different LC oscillator topologies. Existing LC oscillator injection locking and pulling theories in the literature are subsumed as special cases. Next, a transient analysis of the dynamics of injection pulling is carried out, both within and outside of the lock range. Finally, we show how our existing framework naturally accommodates locking onto superharmonic and subharmonic injections, leading to several design considerations for injection-locked frequency dividers (ILFDs) and the implementation of a low-power dual-modulus prescaler from an injection-locked ring oscillator. Our theoretical conclusions are supported by simulations and experimental data from a variety of LC, ring, and relaxation oscillators.", "date": "2019-08", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "54", "number": "8", "publisher": "IEEE", "pagerange": "2122-2139", "id_number": "CaltechAUTHORS:20190711-133035819", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190711-133035819", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Office of Scientific Research (AFOSR)", "grant_number": "FA9550-16-1-0566" } ] }, "doi": "10.1109/jssc.2019.2908763", "resource_type": "article", "pub_year": "2019", "author_list": "Hong, Brian and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/z3p1g-rzw92", "eprint_id": 97237, "eprint_status": "archive", "datestamp": "2023-08-19 16:31:54", "lastmod": "2023-10-20 22:05:52", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gal-Katziri-M", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Analysis and Design of Coupled Inductive Bridges for Magnetic Sensing Applications", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Biosensors, bridge circuits, CMOS integrated circuits, inductive transducers, magnetic sensors", "note": "\u00a9 2019 IEEE. \n\nManuscript received November 16, 2018; revised January 26, 2019 and February 28, 2019; accepted March 12, 2019. Date of publication May 2, 2019; date of current version June 26, 2019. \n\nThe authors would like to thank Dr. B. Abiri for technical discussions, G. Sullivan for his assistance with testing, and Analog Devices for synthesizer evaluation kits.", "abstract": "This paper presents the analysis and design of a novel magnetic sensor. We study the underlying physics of inductance shift sensors as a special case of the broader family of magnetic energy deviation sensors. The result is a quantitative definition of performance metrics with all assumptions and approximations explicitly stated. This analysis is then used to design a modified ac Wheatstone bridge that uses two inductor-pairs in a cross-coupled configuration, to half its size and double its transducer gain while maintaining a fully differential structure with a matched frequency response. A proof-of-concept sensor was fabricated with peripheral circuitry in a 65-nm bulk CMOS process to operate between 770 and 1450 MHz with an effective sensing area of 200 \u00b5m x 200 \u00b5m. The new bridge sensor is fully characterized at a frequency of 770 MHz and demonstrates a reliable and continuous detection of 4.5-\u00b5m iron-oxide magnetic beads over time periods longer than 30 min, appreciably longer than previously reported works.", "date": "2019-07", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "54", "number": "7", "publisher": "IEEE", "pagerange": "1883-1894", "id_number": "CaltechAUTHORS:20190718-133623700", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190718-133623700", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/jssc.2019.2907196", "resource_type": "article", "pub_year": "2019", "author_list": "Gal-Katziri, Matan and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/27wy6-xp082", "eprint_id": 97612, "eprint_status": "archive", "datestamp": "2023-08-19 16:06:25", "lastmod": "2023-10-18 16:08:32", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fikes-A-C", "name": { "family": "Fikes", "given": "Austin C." } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Flexible, Conformal Phased Arrays with Dynamic Array Shape Self-Calibration", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "flexible electronics, phased array, integrated circuits, integrated circuits, calibration", "note": "\u00a9 2019 IEEE. \n\nThe authors wish to thank their funders and collaborators at the Caltech Space Solar Power Initiative, as well as M. R. Hashemi, D. Hodge, C. Ives, and A. Ngai who aided in measurement set-up and manuscript preparation.", "abstract": "Flexible and conformal phased arrays enable a broad range of novel applications. One of the major challenges for such systems is that they experience a change in their behavior when bent or deformed. A self-calibrating flexible phased array can overcome this by estimating the relative position change of its elements as they undergo local deformations. In this work, we demonstrate a dynamically flexible and conformal 8-element phased array based on a custom CMOS transceiver unit. Beam-steering is demonstrated with the flexible array flat and with the array conformed to convex and concave bend radii of \u00b1120 mm. In addition, we propose and test a shape calibration method that uses only the coupling between elements, using the flexible phase array.", "date": "2019-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1458-1461", "id_number": "CaltechAUTHORS:20190801-154731156", "isbn": "978-1-7281-1309-8", "book_title": "2019 IEEE MTT-S International Microwave Symposium (IMS)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190801-154731156", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "resource_type": "book_section", "pub_year": "2019", "author_list": "Fikes, Austin C.; Safaripour, Amirreza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/tjhsy-mxr73", "eprint_id": 93364, "eprint_status": "archive", "datestamp": "2023-08-19 15:34:57", "lastmod": "2023-10-20 17:04:45", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fatemi-R", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Khachaturian-A", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Nonuniform Sparse 2-D Large-FOV Optical Phased Array With a Low-Power PWM Drive", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Free-space optical communication, integrated optics, light detection and ranging (LiDAR), nonuniform sparse aperture, optical phased array (OPA), optical switching devices, optoelectronics, phased array imaging systems, silicon photonics", "note": "\u00a9 2019 IEEE. \n\nManuscript received September 10, 2018; revised December 24, 2018 and January 24, 2019; accepted January 26, 2019. \n\nThis work was supported by Caltech Innovation Initiative.", "abstract": "Integrated optical phased arrays (OPAs) capable of adaptive beamforming and beam steering enable a wide range of applications. For many of these applications, a large scale 2-D OPA with full phase control for each radiating element is essential to achieve a functional low-cost solution. However, the scalability of such OPAs has been hampered by the optical feed distribution difficulties in a planar photonics process, as well as the high power consumption associated with having a large number of phase control units. In this paper, we present a two-chip solution low-power scalable OPA with a nonuniform sparse aperture, providing radiation pattern adjustment and feed distribution feasibility in a CMOS compatible silicon photonics process. The demonstrated OPA with a 128-element aperture achieves the highest reported grating-lobe-free field-of-view (FOV)-to-beamwidth ratio of 16\u00b0/0.8\u00b0, which is equivalent to a 484-element uniform array. This translates to at least 400 resolvable spots, 30 times more than the state-of-the-art 2-D OPAs. Moreover, by utilizing compact phase shifters in a row\u2013column power delivery grid, we reduce the number of required drivers from 144 to 37. A high-swing pulsewidth modulation (PWM) driving circuit featuring breakdown voltage multipliers and soft turn-on activation significantly reduces the power consumption of the system. The electronic driver chip and the integrated photonic chip are fabricated on a 65-nm CMOS process and a thick silicon-on-insulator (SOI) silicon photonics process, occupying 1.7 mm^2 and 2.08 mm^2 of active area, respectively.", "date": "2019-05", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "54", "number": "5", "publisher": "IEEE", "pagerange": "1200-1215", "id_number": "CaltechAUTHORS:20190228-152237706", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190228-152237706", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Innovation Initiative (CI2)" } ] }, "doi": "10.1109/jssc.2019.2896767", "resource_type": "article", "pub_year": "2019", "author_list": "Fatemi, Reza; Khachaturian, Aroutin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/c7qwz-bzv53", "eprint_id": 97085, "eprint_status": "archive", "datestamp": "2023-08-19 15:42:08", "lastmod": "2023-10-20 21:55:43", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Ives-C-E", "name": { "family": "Ives", "given": "Craig" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Photodetector-Driven Coherent RF Array with Wide Tuning Range", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2019 The Author(s).\n\nPublished - 08750272.pdf
", "abstract": "A sixteen-element coherent array of wideband spiral antennas driven by photodetectors is presented. The array radiates between 21 and 65 GHz, with \u221245 dBm of coupled power at 42 GHz.", "date": "2019-05", "date_type": "published", "publisher": "Optical Society of America", "place_of_pub": "Washington, DC", "pagerange": "Art. No. SF2N.6", "id_number": "CaltechAUTHORS:20190712-092832892", "isbn": "978-1-943580-57-6", "book_title": "CLEO: Science and Innovations 2019", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190712-092832892", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/cleo_si.2019.sf2n.6", "primary_object": { "basename": "08750272.pdf", "url": "https://authors.library.caltech.edu/records/c7qwz-bzv53/files/08750272.pdf" }, "resource_type": "book_section", "pub_year": "2019", "author_list": "Abiri, Behrooz; Ives, Craig; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/8m3a5-09j94", "eprint_id": 97088, "eprint_status": "archive", "datestamp": "2023-08-19 15:42:21", "lastmod": "2023-10-20 21:55:55", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Ives-C-E", "name": { "family": "Ives", "given": "Craig" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Wideband On-Chip Radiator Driven by a Traveling-Wave Photodetector", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2019 The Author(s).\n\nPublished - 08750614.pdf
", "abstract": "An integrated broadband Vivaldi antenna driven by an on-chip traveling-wave photodetector is reported. The silicon photonic chip radiates between 21 and 67 GHz with\u221265 dBm coupled power at 44 GHz.", "date": "2019-05", "date_type": "published", "publisher": "Optical Society of America", "place_of_pub": "Washington, DC", "pagerange": "Art. No. STh3H.7", "id_number": "CaltechAUTHORS:20190712-094214650", "isbn": "978-1-943580-57-6", "book_title": "CLEO: Science and Innovations 2019", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190712-094214650", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/cleo_si.2019.sth3h.7", "primary_object": { "basename": "08750614.pdf", "url": "https://authors.library.caltech.edu/records/8m3a5-09j94/files/08750614.pdf" }, "resource_type": "book_section", "pub_year": "2019", "author_list": "Ives, Craig; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/aprqe-vd583", "eprint_id": 94732, "eprint_status": "archive", "datestamp": "2023-08-22 01:29:05", "lastmod": "2023-10-20 18:12:56", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hashemi-M-R-M", "name": { "family": "Hashemi", "given": "Mohammed Reza M." }, "orcid": "0000-0002-8908-0048" }, { "id": "Fikes-A-C", "name": { "family": "Fikes", "given": "Austin C." } }, { "id": "Gal-Katziri-M", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Kelzenberg-M-D", "name": { "family": "Kelzenberg", "given": "Michael D." }, "orcid": "0000-0002-6249-2827" }, { "id": "Warmann-E-L", "name": { "family": "Warmann", "given": "Emily L." } }, { "id": "Espinet-Gonz\u00e1lez-P", "name": { "family": "Espinet", "given": "Pilar" }, "orcid": "0000-0002-7656-0077" }, { "id": "Vaidya-N", "name": { "family": "Vaidya", "given": "Nina" } }, { "id": "Gdoutos-E-E", "name": { "family": "Gdoutos", "given": "Eleftherios E." } }, { "id": "Leclerc-C", "name": { "family": "Leclerc", "given": "Christophe" } }, { "id": "Royer-F", "name": { "family": "Royer", "given": "Fabien" } }, { "id": "Pellegrino-S", "name": { "family": "Pellegrino", "given": "Sergio" }, "orcid": "0000-0001-9373-3278" }, { "id": "Atwater-H-A", "name": { "family": "Atwater", "given": "Harry A." }, "orcid": "0000-0001-9435-0201" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A flexible phased array system with low areal mass density", "ispublished": "pub", "full_text_status": "public", "keywords": "Aerospace engineering; Devices for energy harvesting; Electrical and electronic engineering", "note": "\u00a9 2019 Springer Nature Publishing AG. \n\nReceived 17 November 2018; Accepted 17 April 2019; Published\n17 May 2019. \n\nData availability: The data that support the plots within this paper and other findings of this study are available from the corresponding author upon reasonable request. \n\nCode availability: High-level description of the code created to drive the hardware is available from the corresponding author upon reasonable request. \n\nThe authors acknowledge Caltech Space Solar Power Project and Northrop Grumman Corporation for partial support of the work. \n\nAuthor Contributions: A.H. conceived the idea of the flexible RF phased array. M.R.M.H., A.C.F., M.G.-K. and A.H. designed the flexible array and its electromagnetic components. M.R.M.H., A.C.F., M.G.-K., F.B., B.A. and A.S. performed the reported measurements of the system. B.A., F.B., M.G.-K., A.S. and A.H. designed and implemented the integrated circuit. M.D.K., E.L.W., P.E., N.V., E.E.G., C.L., F.R., S.P. and H.A.A. contributed to the design and implementation of the PV concentrators and cells. M.R.M.H., A.C.F., A.H. and M.G.-K. prepared the manuscript. \n\nCompeting interests: A.H., B.A. and F.B. are co-founders and shareholders of Auspion Inc., which is involved in wireless power transfer applications. A.S. is currently employed at Auspion Inc. Several patent applications that cover certain aspects of the design of the integrated circuit and flexible substrate have been filed.\n\nSupplemental Material - 41928_2019_247_MOESM1_ESM.pdf
", "abstract": "Phased arrays are multiple antenna systems capable of forming and steering beams electronically using constructive and destructive interference between sources. They are employed extensively in radar and communication systems but are typically rigid, bulky and heavy, which limits their use in compact or portable devices and systems. Here, we report a scalable phased array system that is both lightweight and flexible. The array architecture consists of a self-monitoring complementary metal\u2013oxide\u2013semiconductor-based integrated circuit, which is responsible for generating multiple independent phase- and amplitude-controlled signal channels, combined with flexible and collapsible radiating structures. The modular platform, which can be collapsed, rolled and folded, is capable of operating standalone or as a subarray in a larger-scale flexible phased array system. To illustrate the capabilities of the approach, we created a 4\u2009\u00d7\u20094 flexible phased array tile operating at 9.4\u201310.4\u2009GHz, with a low areal mass density of 0.1\u2009g\u2009cm^(\u22122). We also created a flexible phased array prototype that is powered by photovoltaic cells and intended for use in a wireless space-based solar power transfer array.", "date": "2019-05", "date_type": "published", "publication": "Nature Electronics", "volume": "2", "number": "5", "publisher": "Nature Publishing Group", "pagerange": "195-205", "id_number": "CaltechAUTHORS:20190416-120325923", "issn": "2520-1131", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190416-120325923", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Space Solar Power Project" }, { "agency": "Northrop Grumman Corporation" } ] }, "local_group": { "items": [ { "id": "GALCIT" }, { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1038/s41928-019-0247-9", "primary_object": { "basename": "41928_2019_247_MOESM1_ESM.pdf", "url": "https://authors.library.caltech.edu/records/aprqe-vd583/files/41928_2019_247_MOESM1_ESM.pdf" }, "resource_type": "article", "pub_year": "2019", "author_list": "Hashemi, Mohammed Reza M.; Fikes, Austin C.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/92egn-2s343", "eprint_id": 97041, "eprint_status": "archive", "datestamp": "2023-08-19 15:14:46", "lastmod": "2023-10-20 21:52:04", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Khial-P-P", "name": { "family": "Khial", "given": "Parham P." }, "orcid": "0000-0002-3242-8541" }, { "id": "White-A-D", "name": { "family": "White", "given": "Alexander D." }, "orcid": "0000-0002-5387-310X" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Chip-Scale Nanophotonic Optical Gyroscope", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2019 IEEE.", "abstract": "This paper presents the first demonstration of a nanophotonic optical gyroscope (NOG) on a silicon-photonic platform. Reciprocal sensitivity enhancement is introduced as an effective method to overcome the limitations of NOGs.", "date": "2019-04", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1-3", "id_number": "CaltechAUTHORS:20190710-154813563", "isbn": "978-1-5386-7828-2", "book_title": "2019 IEEE International Symposium on Inertial Sensors and Systems (INERTIAL)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190710-154813563", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISISS.2019.8739715", "resource_type": "book_section", "pub_year": "2019", "author_list": "Khial, Parham P.; White, Alexander D.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/m45qn-23c76", "eprint_id": 95718, "eprint_status": "archive", "datestamp": "2023-08-19 15:02:03", "lastmod": "2024-01-14 21:44:55", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Chan-Antony-Chi-Shing", "name": { "family": "Chan", "given": "Antony Chi Shing" } }, { "id": "Shen-Cheng", "name": { "family": "Shen", "given": "Cheng" }, "orcid": "0000-0001-7136-4715" }, { "id": "Williams-E", "name": { "family": "Williams", "given": "Elliot" } }, { "id": "Lyu-Xiaoyu", "name": { "family": "Lyu", "given": "Xiaoyu" } }, { "id": "Lu-Hangwen", "name": { "family": "Lu", "given": "Hangwen" } }, { "id": "Ives-C-E", "name": { "family": "Ives", "given": "Craig" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Yang-Changhuei", "name": { "family": "Yang", "given": "Changhuei" }, "orcid": "0000-0001-8791-0354" } ] }, "title": "Extending the wavelength range of multi-spectral microscope systems with Fourier ptychography", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2019 Society of Photo-Optical Instrumentation Engineers (SPIE).\n\nPublished - 108902O.pdf
", "abstract": "Due to the chromatic dispersion properties inherent in all optical materials, even the best designed multi-spectral objective will exhibit residual chromatic aberration effect. Here we show that the aberration correction ability of Fourier Ptychographic Microscopy (FPM) is well matched and well suited for post-image acquisition correction of these effects to render in-focus images. We show that an objective with significant spectral focal shift (up to 0.02 \u03bcm/nm) and spectral field curvature (up to 0.05 \u03bcm/nm drift at off-axis position of 800\u03bcm) can be computationally corrected to render images with effectively null spectral defocus and field curvature. This approach of combining optical objective design and computational microscopy provides a good strategy for high quality multi-spectral imaging over a broad spectral range, and eliminating the need for mechanical actuation solutions.", "date": "2019-03-25", "date_type": "published", "publisher": "Society of Photo-optical Instrumentation Engineers (SPIE)", "place_of_pub": "Bellingham, WA", "pagerange": "Art. No. 108902O", "id_number": "CaltechAUTHORS:20190522-154638477", "isbn": "9781510624221", "book_title": "Label-free Biomedical Imaging and Sensing (LBIS) 2019", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190522-154638477", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "contributors": { "items": [ { "id": "Shaked-N-T", "name": { "family": "Shaked", "given": "Natan T." } }, { "id": "Hayden-O", "name": { "family": "Hayden", "given": "Oliver" } } ] }, "doi": "10.1117/12.2510875", "primary_object": { "basename": "108902O.pdf", "url": "https://authors.library.caltech.edu/records/m45qn-23c76/files/108902O.pdf" }, "resource_type": "book_section", "pub_year": "2019", "author_list": "Chan, Antony Chi Shing; Shen, Cheng; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/6ajep-t6t43", "eprint_id": 92013, "eprint_status": "archive", "datestamp": "2023-08-19 13:37:34", "lastmod": "2023-10-19 23:54:05", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hong-Brian", "name": { "family": "Hong", "given": "Brian" }, "orcid": "0000-0001-8099-0312" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Phasor-Based Analysis of Sinusoidal Injection Locking in LC and Ring Oscillators", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Adler's equation, injection locking, injection pulling, LC oscillator, lock range, nonlinear circuit, oscillator, phasor, ring oscillator, sinusoidal steady state", "note": "\u00a9 2018 IEEE. \n\nManuscript received February 27, 2018; accepted July 10, 2018. Date of publication August 17, 2018; date of current version December 6, 2018. \n\nThis work was supported by the Air Force Office of Scientific Research (AFOSR) under MURI Grant FA9550-16-1-0566. \n\nThe authors would like to thank P. Khial and R. Fatemi, both of Caltech, for technical discussions.", "abstract": "A new perspective into the locking behavior of LC and ring oscillators is presented. By decomposing a sinusoidal injection current into in-phase and quadrature-phase components, exact expressions for the amplitude and phase of an injection-locked LC oscillator which hold for any injection strength and frequency are derived and confirmed by simulation. The analysis, which can be naturally extended to an arbitrary LC resonator topology, leads to a rigorous understanding of the fundamental physics underlying the locking phenomenon. Furthermore, an investigation of the different necessary and sufficient conditions for injection locking to occur is carried out, leading to a more precise notion of the lock range. The ring oscillator is also analyzed in an analogous fashion, resulting in simple yet accurate closed-form expressions for the fractional lock range in the small-injection and long-ring regimes; the expressions are validated by simulations of single-ended inverter-based ring oscillators in 65-nm CMOS. The mathematics behind how the injection modifies the phase delay contributed by each stage in the ring is discussed. A corollary that generalizes the small-injection lock range to any feedback-based oscillator topology is established. Conceptual and analytical connections to the existing literature are reviewed.", "date": "2019-01", "date_type": "published", "publication": "IEEE Transactions on Circuits and Systems I: Regular Papers", "volume": "66", "number": "1", "publisher": "IEEE", "pagerange": "355-368", "id_number": "CaltechAUTHORS:20190102-155139163", "issn": "1549-8328", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190102-155139163", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Office of Scientific Research (AFOSR)", "grant_number": "FA9550-16-1-0566" } ] }, "doi": "10.1109/tcsi.2018.2860045", "resource_type": "article", "pub_year": "2019", "author_list": "Hong, Brian and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/1qvfa-4vr18", "eprint_id": 91516, "eprint_status": "archive", "datestamp": "2023-08-19 12:41:57", "lastmod": "2023-10-19 22:33:12", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fatemi-R", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Khachaturian-A", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "High sensitivity active flat optics optical phased array receiver with a two-dimensional aperture", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2018 Optical Society of America under the terms of the OSA Open Access Publishing Agreement. \n\nReceived 16 Jul 2018; revised 25 Sep 2018; accepted 6 Oct 2018; published 31 Oct 2018.\n\nPublished - oe-26-23-29983.pdf
", "abstract": "Optical phased arrays (OPAs) on integrated photonic platforms provide a low-cost chip-scale solution for many applications. Despite the numerous demonstrations of OPA transmitters, the realization of a functional OPA receiver presents a challenge due to the low received signal level in the presence of noise and interference that necessitates high sensitivity of the receiver. In this paper, an integrated receiver system is presented that is capable of on-chip adaptive manipulation and processing of the captured waveform. The receiver includes an optoelectronic mixer that down-converts optical signals to radio frequencies while maintaining their phase and amplitude information. The optoelectronic mixer also provides conversion gain that enhances the system sensitivity and its robustness to noise and interference. Using this system, the first OPA receiver with a two-dimensional aperture of 8-by-8 receiving elements is demonstrated which can selectively receive light from 64 different angles. The OPA receiver can form reception beams with a beamwidth of 0.75\u00b0 over an 8\u00b0 grating-lobe-free field of view.", "date": "2018-11-12", "date_type": "published", "publication": "Optics Express", "volume": "26", "number": "23", "publisher": "Optical Society of America", "pagerange": "29983-29999", "id_number": "CaltechAUTHORS:20181205-145145663", "issn": "1094-4087", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20181205-145145663", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/oe.26.029983", "primary_object": { "basename": "oe-26-23-29983.pdf", "url": "https://authors.library.caltech.edu/records/1qvfa-4vr18/files/oe-26-23-29983.pdf" }, "resource_type": "article", "pub_year": "2018", "author_list": "Fatemi, Reza; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/npqpe-y4a45", "eprint_id": 92114, "eprint_status": "archive", "datestamp": "2023-08-19 12:30:07", "lastmod": "2023-10-19 23:58:58", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gal-Katziri-M", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Sub-Picosecond Hybrid DLL for Large-Scale Phased Array Synchronization", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS integrated circuits, phased-arrays, radio frequency, tracking loops, delay-lines, phase locked loops, phase noise", "note": "\u00a9 2018 IEEE. \n\nThis work was sponsored by Caltech's Space Solar Power Project (SSPP).\n\nPublished - 08579340.pdf
", "abstract": "A large-scale timing synchronization scheme for scalable phased arrays is presented. This approach utilizes a DLL co-designed with a subsequent 2.5GHz PLL. The DLL employs a low noise, fine/coarse delay tuning to reduce the in-band rms jitter to 323fs, an order of magnitude improvement over previous works at similar frequencies. The DLL was fabricated in a 65nm bulk CMOS process and was characterized from 27MHz to 270MHz. It consumes up to 3.3mW from a 1V power supply and has a small footprint of 0.036mm^2.", "date": "2018-11", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "231-234", "id_number": "CaltechAUTHORS:20190107-110253682", "isbn": "978-1-5386-6413-1", "book_title": "2018 IEEE Asian Solid-State Circuits Conference (A-SSCC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190107-110253682", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Space Solar Power Project" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/ASSCC.2018.8579340", "primary_object": { "basename": "08579340.pdf", "url": "https://authors.library.caltech.edu/records/npqpe-y4a45/files/08579340.pdf" }, "resource_type": "book_section", "pub_year": "2018", "author_list": "Gal-Katziri, Matan and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/y6sdp-58y27", "eprint_id": 90383, "eprint_status": "archive", "datestamp": "2023-08-19 12:24:14", "lastmod": "2023-10-18 23:24:41", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Khial-P-P", "name": { "family": "Khial", "given": "Parham P." }, "orcid": "0000-0002-3242-8541" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Design and Implementation of Reference-Free Drift-Cancelling CMOS Magnetic Sensors for Biosensing Applications", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Chemical and biological sensors, CMOS integrated circuits, drift cancelling, magnetic sensors, medical diagnostics, point of care (PoC), point of use (PoU), reference free", "note": "\u00a9 2018 IEEE. \n\nManuscript received May 4, 2018; revised July 12, 2018; accepted July 27, 2018. Date of publication October 11, 2018; date of current version October 22, 2018. \n\nThis paper was approved by Guest Editor Chung-Yu Wu. \n\nThe authors would like to thank B. Ling for help with wet-lab experiments, B. Hong for help with layout, and K. Mauser and N. Scianmarello for help with sample preparation.", "abstract": "Magnetic imagers, which utilize magnetic nanoparticles as labels to realize biodetection assays, hold significant promise for deployment at the point-of-use. Resonance-shift-based sensors can be realized in standard CMOS processes without post-process modifications and offer great sensitivity at low price tags. Unfortunately, CMOS resonant-shift magnetic sensors suffer significant degradation in SNR and long-term stability due to low on-chip inductor quality factors and significant noise introduced from active devices and thermal variations. This makes standard resonant-shift-based imagers undesirable for use in low-signal biodetection assays. Furthermore, and most importantly, the significant long-term drift due to slow-varying noise sources and temperature changes makes these sensors inadequate for bioexperiments which may take timescales on the order of hours to reach completion. In this paper, we propose a transformer-based approach which enables sub-parts-per-million (PPM) signal detection without the need for any thermal compensation. The approach is self-referencing, leading to significant savings in chip area by removing the need for replica reference cells. We analyze the performance of the transformer-based circuit compared to the original second-order system and demonstrate its superiority for rejecting system noise. A proof-of-concept design of a fully integrated 2\u00d72 CMOS transformer-based magnetic sensor array is presented which achieves reference-free, sub-PPM detection of magnetic signals. The system can be powered and operated completely from a laptop USB interface and each sensing cell can consume less than 3 mW of DC power. Finally, we show the results of an initial DNA biodetection experiment which confirms the capability of the sensor to be used for realistic bioassays.", "date": "2018-11", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "53", "number": "11", "publisher": "IEEE", "pagerange": "3065-3075", "id_number": "CaltechAUTHORS:20181023-151906461", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20181023-151906461", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2018.2865480", "resource_type": "article", "pub_year": "2018", "author_list": "Sideris, Constantine; Khial, Parham P.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/k0gn4-zjp51", "eprint_id": 88626, "eprint_status": "archive", "datestamp": "2023-09-22 22:48:01", "lastmod": "2023-10-23 23:29:05", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Porsandeh-Khial-P", "name": { "family": "Porsandeh Khial", "given": "Parham" }, "orcid": "0000-0002-3242-8541" }, { "id": "White-A-D", "name": { "family": "White", "given": "Alexander D." }, "orcid": "0000-0002-5387-310X" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Nanophotonic optical gyroscope with reciprocal sensitivity enhancement", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2018 Springer Nature Limited. \n\nReceived 09 April 2018. Accepted 04 September 2018. Published 08 October 2018. \n\nThe authors thank A. Khachaturian, B. Hong and B. Abiri for technical discussions. \n\nAuthor Contributions: P.P.K. and A.H. conceived and designed the device. Simulations and measurements were performed by P.P.K. and A.D.W. Analysis of the results was carried out by P.P.K., A.D.W. and A.H. All authors participated in writing the manuscript. \n\nData availability: The data that support the plots within this paper and other findings of this study are available from the corresponding author upon reasonable request. \n\nThe authors declare no competing interests.\n\nIn the version of this Letter originally published online, a '7' was mistakenly included at the beginning of the second line of equation (4); it has now been removed.\n\nSupplemental Material - 41566_2018_266_MOESM1_ESM.pdf
", "abstract": "Optical gyroscopes measure the rate of rotation by exploiting a relativistic phenomenon known as the Sagnac effect. Such gyroscopes are great candidates for miniaturization onto nanophotonic platforms. However, the signal-to-noise ratio of optical gyroscopes is generally limited by thermal fluctuations, component drift and fabrication mismatch. Due to the comparatively weaker signal strength at the microscale, integrated nanophotonic optical gyroscopes have not been realized so far. Here, we demonstrate an all-integrated nanophotonic optical gyroscope by exploiting the reciprocity of passive optical networks to significantly reduce thermal fluctuations and mismatch. The proof-of-concept device is capable of detecting phase shifts 30 times smaller than state-of-the-art miniature fibre-optic gyroscopes, despite being 500 times smaller in size. Thus, our approach is capable of enhancing the performance of optical gyroscopes by one to two orders of magnitude.", "date": "2018-11", "date_type": "published", "publication": "Nature Photonics", "volume": "12", "number": "11", "publisher": "Nature Publishing Group", "pagerange": "671-675", "id_number": "CaltechAUTHORS:20180807-125405326", "issn": "1749-4885", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20180807-125405326", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1038/s41566-018-0266-5", "primary_object": { "basename": "41566_2018_266_MOESM1_ESM.pdf", "url": "https://authors.library.caltech.edu/records/k0gn4-zjp51/files/41566_2018_266_MOESM1_ESM.pdf" }, "resource_type": "article", "pub_year": "2018", "author_list": "Porsandeh Khial, Parham; White, Alexander D.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/yeccs-mnz21", "eprint_id": 89986, "eprint_status": "archive", "datestamp": "2023-08-19 11:42:08", "lastmod": "2023-10-18 23:08:28", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Fatemi-R", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 1-D heterodyne lens-free optical phased array camera with reference phase shifting", "ispublished": "pub", "full_text_status": "public", "keywords": "Silicon nanophotonics, Integrated Photonic Systems, Imaging systems,Nano-antennas, Applications", "note": "\u00a9 2018 IEEE. IEEE Open Access. \n\nManuscript received July 6, 2018; revised September 17, 2018; accepted September 17, 2018. Date of publication September 26, 2018; date of current version October 12, 2018. \n\nThis research was supported by Caltech Innovation Initiative. This paper was presented in part at the National Science Foundation, Alexandria, VA, USA. \n\nThe authors would like to thank OpSIS and Drs. T. Baehr-Jones and M. Hochberg for assistance with chip fabrication and Aroutin Khachaturian for help in measurement setup preparation.\n\nPublished - 08471238.pdf
", "abstract": "This paper presents the first integrated silicon photonics optical phased array (OPA) receiver with imaging capabilities. A 32-element 1D OPA with an overall aperture size of 96x50 \u03bcm^2 is used to generate an electrically steerable \"gazing beam\". The OPA receiver elements couple the incident light to on-chip waveguides which is processed as a phased array receiver. To minimize signal loss and enhance sensitivity, a heterodyne architecture with phase shifters in the local reference path is utilized. The OPA receiver can provide fully programmable angular selectivity with a grating-lobe-free field-of-view of 30\u00b0 and a gazing beamwidth of 0.74\u00b0.", "date": "2018-10", "date_type": "published", "publication": "IEEE Photonics Journal", "volume": "10", "number": "5", "publisher": "IEEE", "pagerange": "Art. No. 6601712", "id_number": "CaltechAUTHORS:20180927-080601652", "issn": "1943-0655", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20180927-080601652", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "NSF", "grant_number": "EEC-0310717" }, { "agency": "Caltech Innovation Initiative (CI2)" } ] }, "doi": "10.1109/JPHOT.2018.2871823", "primary_object": { "basename": "08471238.pdf", "url": "https://authors.library.caltech.edu/records/yeccs-mnz21/files/08471238.pdf" }, "resource_type": "article", "pub_year": "2018", "author_list": "Abiri, Behrooz; Fatemi, Reza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jzac5-r4q89", "eprint_id": 90419, "eprint_status": "archive", "datestamp": "2023-08-19 11:20:12", "lastmod": "2023-10-18 23:26:15", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gal-Katziri-M", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Coupled Inductive Bridge for Magnetic Sensing Applications", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "CMOS integrated circuits, magnetic sensors, bridge circuits, inductive transducers, biosensors", "note": "\u00a9 2018 IEEE. \n\nThe author would like to thank Dr. B. Abiri for technical discussions and Garret Sullivan for his assistance with testing.", "abstract": "A highly-sensitive magnetic sensor with excellent long-term stability is presented. We modify a conventional all-inductor AC Wheatstone Bridge by coupling two inductor pairs in a cross-coupled configuration which halves its size and doubles its sensitivity, while maintaining a fully differential output that reduces common-mode induced offset and drift. The sensor was fabricated with integrated excitation and receiver circuitry in a 65nm bulk CMOS process. It operates between 770MHz and 1.45GHz, has an effective sensing area of 200\u00b5m \u00d7 200\u00b5m, and reliably and continuously detects single 4.5\u00b5m magnetic label beads without significant drift over time periods notably longer than previously reported works. To our best knowledge, this is the first demonstration of a magnetic sensor using a fully symmetric, gain enhanced, and all-inductor coupled bridge circuit.", "date": "2018-09", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "342-345", "id_number": "CaltechAUTHORS:20181025-114426172", "isbn": "978-1-5386-5404-0", "book_title": "IEEE 44th European Solid State Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20181025-114426172", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ESSCIRC.2018.8494313", "resource_type": "book_section", "pub_year": "2018", "author_list": "Gal-Katziri, Matan and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jbtxr-geg41", "eprint_id": 88942, "eprint_status": "archive", "datestamp": "2023-08-19 08:44:21", "lastmod": "2023-10-18 22:24:43", "type": "monograph", "metadata_visibility": "show", "creators": { "items": [ { "id": "Pai-Alex", "name": { "family": "Pai", "given": "Alex" } }, { "id": "Ho-Dimitar", "name": { "family": "Ho", "given": "Dimitar" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Three Dimensional Aggregation of Magnetic Particles", "ispublished": "unpub", "full_text_status": "public", "note": "The authors would like to thank Michelle Wang for her indefatigable and unflappable\nefforts in helping to establish an experimental demonstration of aggregation. Alex White provided us with\ncreative debugging and passionate spirits in our 11th hour. The authors would also like to thank Brian Hong\nfor his efforts in perusing and proofreading this manuscript. Last but not least, the authors would like to\nthank Reza Fatemi for extremely helpful technical discussions.\n\nCompeting Interests: The authors declare that they have no competing financial interests.\n\nSubmitted - 1804.02778.pdf
", "abstract": "Magnetic drug delivery is a promising therapeutic because of magnetic fields' ability to permeate unperturbed in human tissue. One of the long-standing challenges in magnetic drug delivery is the inability to generate 3D aggregation non-invasively within the interior of the body. Earnshaw's theorem, which proves the impossibility of creating an energetic minimum in a curl-free and divergence-free field such as a magnetic field. However, one of the assumptions of Earnshaw's theorem is a static field. Here we show that it is possible to utilize a dynamically changing field and a dissipative force such as the drag, which is generally present, to create a stable aggregation point for magnetic particles. We also introduce a theoretical framework for designing the suitable magnetic fields for controlling a given magnetic particle in a particular fluid. This framework enables accurate determination of the necessary parameters for aggregation across a wide variety of magnetic particles and across multiple biologically-relevant fluids. By coating magnetic particles with desired therapeutic agents or attaching them to cells, a new class of treatment methodologies can be created in therapies such as targeted drug delivery and cell-based therapies. By dynamically changing the aggregation point, agents can also be guided along a particular path in the body. This technique of using dissipative forces to create a stable 3D aggregation point for particles could possibly be extended to a broad range of applications such as microscopic and macroscopic manipulation, robotics, guided self-assembly, magnetic plasma confinement, tissue engineering, and ion traps for quantum computers.", "date": "2018-08-20", "date_type": "published", "publisher": "arXiv", "id_number": "CaltechAUTHORS:20180817-160152672", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20180817-160152672", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.48550/arXiv.1804.02778", "primary_object": { "basename": "1804.02778.pdf", "url": "https://authors.library.caltech.edu/records/jbtxr-geg41/files/1804.02778.pdf" }, "resource_type": "monograph", "pub_year": "2018", "author_list": "Pai, Alex; Ho, Dimitar; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/8tte6-1ma75", "eprint_id": 100505, "eprint_status": "archive", "datestamp": "2023-08-19 09:38:36", "lastmod": "2023-10-18 20:57:40", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fatemi-R", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Khachaturian-A", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Low Power PWM Optical Phased Array Transmitter with 16\u00b0 Field-of-View and 0.8\u00b0 Beamwidth", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Phased array, photonic integrated circuits, silicon photonics, free-space optical communication", "note": "\u00a9 2018 IEEE.", "abstract": "An optical phased array (OPA) transmitter system with a high-swing electrical PWM driver achieving the highest reported grating-lobe-free field-of-view to beamwidth ratio of 16\u00b0/0.8\u00b0 for a two-dimensional aperture is presented. This ratio translates to 400 resolvable spots-which is 30x more numerous than the state-of-the-art. This scalable OPA, with a sparsely populated aperture, overcomes optical routing difficulties in planar photonic processes while maintaining the desired transmitter beam characteristics. To reduce the power consumption, a row-column electrical connection grid for the 144 optical phase shifters decreases the number of electrical drivers from 144 to 37. The PWM driver chip incorporates a breakdown-voltage multiplying architecture to increase the output voltage swing. The 65nm CMOS electronic driver chip and the photonic chip, fabricated through a standard silicon photonics process, occupy 1.7mm\u00b2 and 2.08mm\u00b2 of active area respectively.", "date": "2018-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "28-31", "id_number": "CaltechAUTHORS:20200103-130516403", "isbn": "9781538645451", "book_title": "2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20200103-130516403", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/rfic.2018.8428847", "resource_type": "book_section", "pub_year": "2018", "author_list": "Fatemi, Reza; Khachaturian, Aroutin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/kcef9-q5190", "eprint_id": 100506, "eprint_status": "archive", "datestamp": "2023-08-19 09:38:44", "lastmod": "2023-10-18 20:57:49", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Asghari-B", "name": { "family": "Asghari", "given": "Bahar" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Proximal-Field Radiation Sensors for Millimeter-Wave Integrated Radiators", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Antenna theory, electromagnetic radiation, millimeter wave integrated circuits, dielectric substrates, sensors, antenna radiation patterns", "note": "\u00a9 2018 IEEE.", "abstract": "Integration of Proximal-Field Radiation Sensors (PFRS) with mm-wave integrated radiators enables extraction of valuable information about their far-field radiation properties from the surface waves inside the substrate and the electromagnetic fields in close proximity of the radiating antennas. In this paper, we present a 72 GHz 2\u00d71 integrated radiator array with four on-chip PFRS units to show proximal-field sensing capability in calculation of far-field radiation properties solely through on-chip measurement of proximal fields.", "date": "2018-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "256-259", "id_number": "CaltechAUTHORS:20200103-130914658", "isbn": "9781538645451", "book_title": "2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20200103-130914658", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/RFIC.2018.8429018", "resource_type": "book_section", "pub_year": "2018", "author_list": "Safaripour, Amirreza; Asghari, Bahar; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/p05hy-rjp75", "eprint_id": 91659, "eprint_status": "archive", "datestamp": "2023-08-19 09:37:23", "lastmod": "2023-10-19 23:36:57", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Kelzenberg-M-D", "name": { "family": "Kelzenberg", "given": "Michael D." }, "orcid": "0000-0002-6249-2827" }, { "id": "Espinet-Gonz\u00e1lez-P", "name": { "family": "Espinet-Gonz\u00e1lez", "given": "Pilar" }, "orcid": "0000-0002-7656-0077" }, { "id": "Vaidya-N", "name": { "family": "Vaidya", "given": "Nina" } }, { "id": "Warmann-E-C", "name": { "family": "Warmann", "given": "Emily C." }, "orcid": "0000-0002-2810-4608" }, { "id": "Naqavi-A", "name": { "family": "Naqavi", "given": "Ali" } }, { "id": "Loke-S-P", "name": { "family": "Loke", "given": "Samuel P." } }, { "id": "Saive-P", "name": { "family": "Saive", "given": "Philipp" } }, { "id": "Roy-T-A", "name": { "family": "Roy", "given": "Tatiana A." } }, { "id": "Vinogradova-T-G", "name": { "family": "Vinogradova", "given": "Tatiana G." } }, { "id": "Leclerc-C", "name": { "family": "Leclerc", "given": "Christophe" } }, { "id": "Gdoutos-E-E", "name": { "family": "Gdoutos", "given": "Eleftherios E." } }, { "id": "Royer-F", "name": { "family": "Royer", "given": "Fabien" } }, { "id": "Fikes-A-C", "name": { "family": "Fikes", "given": "Austin" } }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hashemi-M-R", "name": { "family": "Hashemi", "given": "Mohammed R." } }, { "id": "Gal-Katziri-M", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Pellegrino-S", "name": { "family": "Pellegrino", "given": "Sergio" }, "orcid": "0000-0001-9373-3278" }, { "id": "Atwater-H-A", "name": { "family": "Atwater", "given": "Harry A." }, "orcid": "0000-0001-9435-0201" } ] }, "title": "Ultralight Energy Converter Tile for the Space Solar Power Initiative", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2018 IEEE.", "abstract": "We have fabricated a functional prototype of an ultralight power converter tile; a modular building block for a space-based solar power system. The tile is \u223c10\u00d715 cm in area, and weighs \u223c1.5 kg/m^2. It comprises a photovoltaic (PV) solar energy collector, a radio-frequency (RF) power converter, and an array of transmission antennas. The PV collector subassembly utilizes \u223c15x, 1D parabolic trough reflective concentrators with triple-junction (3J) solar cells. It has areal mass of \u223c0.8 kg/m^2, 74% optical efficiency, and a peak specific power of \u223c230W/kg. We demonstrated wireless power transmission over a distance of \u223c50 cm in our lab. Analysis of the sources of mass and inefficiency suggest a path towards achieving dramatically higher performance with future designs.", "date": "2018-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "3357-3359", "id_number": "CaltechAUTHORS:20181210-140402265", "isbn": "9781538685297", "book_title": "2018 IEEE 7th World Conference on Photovoltaic Energy Conversion (WCPEC) (A Joint Conference of 45th IEEE PVSC, 28th PVSEC & 34th EU PVSEC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20181210-140402265", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "local_group": { "items": [ { "id": "GALCIT" }, { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/pvsc.2018.8547403", "resource_type": "book_section", "pub_year": "2018", "author_list": "Kelzenberg, Michael D.; Espinet-Gonz\u00e1lez, Pilar; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/nys2v-gbb77", "eprint_id": 98271, "eprint_status": "archive", "datestamp": "2023-08-19 08:20:33", "lastmod": "2024-01-14 21:55:02", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Lensless imaging using silicon photonics optical phased arrays receivers (Conference Presentation)", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2018 Society of Photo-Optical Instrumentation Engineers (SPIE).", "abstract": "The ability to integrate optical phased arrays (OPA) on a single silicon-photonics substrate offers a plethora of new opportunities in various fields, including projection and imaging. In this paper, we will discuss some of the trade-offs in the design of OPAs and their application. We investigate OPA receivers which can form and electronically steer a \"gazing beam\" in a desired direction. We will discuss various architectural and systems choices and present a one-dimensional (1D) OPA and a two dimensional (2D) OPA, as examples. We will demonstrate how an optical heterodyning approach can be used to improve the sensitivity of such OPA and form images directly from the surface of a silicon nano-photonic chip without any lens, additional optical components, or moving parts. We will discuss the design details of a 1D OPA RX camera with a field of view in excess of 60 with a gazing beam width of 0.74 based on a heterodyning architecture. We will also investigate the details of the design of a heterodyne 2D OPA lensless camera which can image with the gazing beam width of 0.75 and the ability to image a field of view of roughly 8 in azimuth and elevation. We will also discuss the concept and implementation of coherent imagers that can be used as highly precise 3D imagers. As an example, we will show 3D imaging at the distance of 0.5m with a resolution of 15um.", "date": "2018-03-14", "date_type": "published", "publisher": "Society of Photo-Optical Instrumentation Engineers (SPIE)", "place_of_pub": "Bellingham, WA", "pagerange": "Art. No. 105370C", "id_number": "CaltechAUTHORS:20190827-111648684", "isbn": "9781510615595", "book_title": "Silicon Photonics XIII", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190827-111648684", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "contributors": { "items": [ { "id": "Reed-G-T", "name": { "family": "Reed", "given": "Graham T." } }, { "id": "Knights-A-P", "name": { "family": "Knights", "given": "Andrew P." } } ] }, "doi": "10.1117/12.2293192", "resource_type": "book_section", "pub_year": "2018", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/05x7s-t4p25", "eprint_id": 85779, "eprint_status": "archive", "datestamp": "2023-08-19 07:38:51", "lastmod": "2023-10-18 18:47:12", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Porsandeh-Khial-P", "name": { "family": "Porsandeh Khial", "given": "Parham" }, "orcid": "0000-0002-3242-8541" }, { "id": "Ling-Bill", "name": { "family": "Ling", "given": "Bill" }, "orcid": "0000-0002-1276-7204" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 0.3ppm dual-resonance transformer-based drift-cancelling reference-free magnetic sensor for biosensing applications", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2018 IEEE.\n\nThe authors thank K. Mauser and N. Scianmarello for help with sample preparation.", "abstract": "Cost-efficient, point-of-use diagnostics are critical for early disease detection. Traditionally, the majority of lab-based analysis equipment utilizes fluorescent markers for biodetection assays. However, magnetic-based labels have recently been shown to be promising alternatives to fluorescent tags for DNA, protein, and cell assays. Magnetic assays offer several key advantages over their fluorescent counterparts, namely that magnetic beads do not suffer from signal decay due to bleaching and that they can be detected with cheap CMOS-based sensors, eliminating the need for expensive lasers, photo-diodes, filters, and complicated post-processing steps. Significant progress has recently been made in the design of magnetic imager ICs, such as [1] which utilizes a gMr approach for detection and [2-4] which measure the resonance shift in an LC tank.", "date": "2018-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "190-192", "id_number": "CaltechAUTHORS:20180412-104241139", "isbn": "978-1-5090-4940-0", "book_title": "2018 IEEE International Solid - State Circuits Conference - (ISSCC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20180412-104241139", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2018.8310248", "resource_type": "book_section", "pub_year": "2018", "author_list": "Sideris, Constantine; Porsandeh Khial, Parham; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/0d6be-j1q10", "eprint_id": 85785, "eprint_status": "archive", "datestamp": "2023-08-19 07:38:59", "lastmod": "2023-10-18 18:47:32", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 69-to-79GHz CMOS multiport PA/radiator with +35.7dBm CW EIRP and integrated PLL", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2018 IEEE. \n\nThe authors would like to thank Dr. Florian Bohn for helpful discussions and Dr. Amirreza Safaripour for assistance in testing. This work was supported by Caltech Innovation Initiative (CI2) research grant.", "abstract": "Low-cost mm-wave silicon integrated signal generation and processing enable many applications, such as silicon-based automotive radars for self-driving cars and wireless communications. Some challenges encountered in commercialization of such systems are the high packaging and testing costs and high sensitivity to antenna parameters, which can diminish the advantage of integrated silicon solutions. On-chip antennas have been proposed as a solution to reduce the packaging costs [1,2]. Link budget analysis of systems (e.g., radar) necessitates high-power (high EIRP) transmitters while system resolution analysis suggests higher frequency of operation for better spatial resolution. The scaling of CMOS transistors facilitates the latter requirement, but, unfortunately, the lower breakdown voltage of the transistors reduces their maximum power handling capabilities at a given radiator impedance. Several approaches have already been implemented to address this issue, each with its own shortcoming. Power-combining multiple PA outputs with passive on-chip power combiners [3] adds extra loss and reduces the overall efficiency, spatial power combining using phased arrays [4] consumes a large die area. Power combining at the antenna [5,6] has been proposed as an approach to address these challenges. In this paper, we propose a spatial PA/radiator power combining approach with optimal PA-load design using strongly coupled antennas in close proximity. This approach utilizes techniques of power combining in free space resulting in favorable drive-point impedance design and using on-chip PAs and radiators to achieve high radiated output power.", "date": "2018-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "404-406", "id_number": "CaltechAUTHORS:20180412-125250249", "isbn": "978-1-5090-4940-0", "book_title": "2018 IEEE International Solid - State Circuits Conference - (ISSCC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20180412-125250249", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Innovation Initiative (CI2)" } ] }, "doi": "10.1109/ISSCC.2018.8310355", "resource_type": "book_section", "pub_year": "2018", "author_list": "Abiri, Behrooz and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/4afjx-yfv11", "eprint_id": 97643, "eprint_status": "archive", "datestamp": "2023-08-19 07:20:01", "lastmod": "2023-10-18 16:10:23", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Gdoutos-E-E", "name": { "family": "Gdoutos", "given": "Eleftherios E." } }, { "id": "Leclerc-C", "name": { "family": "Leclerc", "given": "Christophe" } }, { "id": "Royer-F", "name": { "family": "Royer", "given": "Fabien" } }, { "id": "Kelzenberg-M-D", "name": { "family": "Kelzenberg", "given": "Michael D." }, "orcid": "0000-0002-6249-2827" }, { "id": "Warmann-E-C", "name": { "family": "Warmann", "given": "Emily C." }, "orcid": "0000-0002-2810-4608" }, { "id": "Espinet-Gonz\u00e1lez-P", "name": { "family": "Espinet-Gonz\u00e1lez", "given": "Pilar" }, "orcid": "0000-0002-7656-0077" }, { "id": "Vaidya-N", "name": { "family": "Vaidya", "given": "Nina" } }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hashemi-M-R", "name": { "family": "Hashemi", "given": "Mohammed R." } }, { "id": "Gal-Katziri-M", "name": { "family": "Gal-Katziri", "given": "Matan" }, "orcid": "0000-0001-9100-1188" }, { "id": "Fikes-A-C", "name": { "family": "Fikes", "given": "Austin" } }, { "id": "Atwater-H-A", "name": { "family": "Atwater", "given": "Harry A." }, "orcid": "0000-0001-9435-0201" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Pellegrino-S", "name": { "family": "Pellegrino", "given": "Sergio" }, "orcid": "0000-0001-9373-3278" } ] }, "title": "A lightweight tile structure integrating photovoltaic conversion and RF power transfer for space solar power applications", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2018 American Institute of Aeronautics and Astronautics. \n\nFinancial support from the Northrop Grumman Corporation is gratefully acknowledged.\n\nAccepted Version - Gdoutos_et_al_2018.pdf
", "abstract": "We demonstrate the development of a prototype lightweight (1.5 kg/m^3) tile structure capable of photovoltaic solar power capture, conversion to radio frequency power, and transmission through antennas. This modular tile can be repeated over an arbitrary area to forma large aperture which could be placed in orbit to collect sunlight and transmit electricity to any location. Prototype design is described and validated through finite element analysis, and high-precision ultra-light component manufacture and robust assembly are described.", "date": "2018-01-08", "date_type": "published", "publisher": "AIAA", "place_of_pub": "Reston, VA", "pagerange": "Art. No. 2018-2202", "id_number": "CaltechAUTHORS:20190805-134837271", "isbn": "9781624105302", "book_title": "AIAA Scitech 2018 Forum", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190805-134837271", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Northrop Grumman Corporation" } ] }, "other_numbering_system": { "items": [ { "id": "2018-2202", "name": "AIAA Paper" } ] }, "local_group": { "items": [ { "id": "GALCIT" }, { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.2514/6.2018-2202", "primary_object": { "basename": "Gdoutos_et_al_2018.pdf", "url": "https://authors.library.caltech.edu/records/4afjx-yfv11/files/Gdoutos_et_al_2018.pdf" }, "resource_type": "book_section", "pub_year": "2018", "author_list": "Gdoutos, Eleftherios E.; Leclerc, Christophe; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/3jj5p-h3q02", "eprint_id": 81910, "eprint_status": "archive", "datestamp": "2023-08-19 04:36:50", "lastmod": "2023-10-17 21:55:11", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Aflatouni-F", "name": { "family": "Aflatouni", "given": "Firooz" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Self-equalizing photodiodes, a hybrid electro-optical approach to tackle bandwidth limitation in high-speed signaling", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2017 Optical Society of America. \n\nReceived 26 May 2017; revised 13 Jul 2017; accepted 24 Jul 2017; published 31 Jul 2017. \n\nThe authors would like to thank Dr. Tom Baehr-Jones and Dr. Michael Hochberg for chip fabrication through OpSIS and Andy Zhou for the help in measurement.\n\nPublished - oe-25-16-19137.pdf
", "abstract": "In this paper we provide the design details of self-equalizing photodetectors which enable higher data rate transmission by improving the overall bandwidth of the bandwidth limited transmission link, through a hybrid electro-optical solution. Two different self-equalizing photodiodes, one having fixed equalization and the other being programmable are presented as proof of concept.", "date": "2017-08-07", "date_type": "published", "publication": "Optics Express", "volume": "25", "number": "16", "publisher": "Optical Society of America", "pagerange": "19137-19146", "id_number": "CaltechAUTHORS:20170929-075014830", "issn": "1094-4087", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170929-075014830", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/OE.25.019137", "primary_object": { "basename": "oe-25-16-19137.pdf", "url": "https://authors.library.caltech.edu/records/3jj5p-h3q02/files/oe-25-16-19137.pdf" }, "resource_type": "article", "pub_year": "2017", "author_list": "Abiri, Behrooz; Aflatouni, Firooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hd7br-x6z92", "eprint_id": 90772, "eprint_status": "archive", "datestamp": "2023-08-19 03:30:33", "lastmod": "2023-10-19 14:51:33", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Kelzenberg-M-D", "name": { "family": "Kelzenberg", "given": "Michael D." }, "orcid": "0000-0002-6249-2827" }, { "id": "Espinet-Gonz\u00e1lez-P", "name": { "family": "Espinet-Gonz\u00e1lez", "given": "Pilar" }, "orcid": "0000-0002-7656-0077" }, { "id": "Vaidya-N", "name": { "family": "Vaidya", "given": "Nina" } }, { "id": "Roy-T-A", "name": { "family": "Roy", "given": "Tatiana A." } }, { "id": "Warmann-E-C", "name": { "family": "Warmann", "given": "Emily C." }, "orcid": "0000-0002-2810-4608" }, { "id": "Naqavi-A", "name": { "family": "Naqavi", "given": "Ali" } }, { "id": "Loke-S-P", "name": { "family": "Loke", "given": "Samuel P." } }, { "id": "Huang-Jing-Shun", "name": { "family": "Huang", "given": "Jing-Shun" }, "orcid": "0000-0002-7531-4691" }, { "id": "Vinogradova-T-G", "name": { "family": "Vinogradova", "given": "Tatiana G." } }, { "id": "Messer-A-J", "name": { "family": "Messer", "given": "Alexander J." } }, { "id": "Leclerc-C", "name": { "family": "Leclerc", "given": "Christophe" } }, { "id": "Gdoutos-E-E", "name": { "family": "Gdoutos", "given": "Eleftherios E." } }, { "id": "Royer-F", "name": { "family": "Royer", "given": "Fabien" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Pellegrino-S", "name": { "family": "Pellegrino", "given": "Sergio" }, "orcid": "0000-0001-9373-3278" }, { "id": "Atwater-H-A", "name": { "family": "Atwater", "given": "Harry A." }, "orcid": "0000-0001-9435-0201" } ] }, "title": "Design and Prototyping Efforts for the Space Solar Power Initiative", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "space solar power, concentrator photovoltaics, thermal management", "note": "\u00a9 2017 IEEE. \n\nWe acknowledge financial support from Northrop Grumman. This effort made use of facilities provided by the Kavli Nanoscience Institute, the Molecular Materials Research Center, the Resnick Institute, and the Joint Center for Artificial Photosynthesis at Caltech. We acknowledge the helpful contributions of Mark Kruer, Mike Levesque, and Erik Kurman at Northrop Grumman; Lynn Rodman at Nexolve; and Allen Smith at ABET.", "abstract": "The Space Solar Power Initiative (SSPI) seeks to enable reliable, cost-effective baseload power generation from large-scale solar power stations in space. We propose an ultralight, modular power station, having specific power in the range of 1\u201310 kW/kg for the photovoltaic (PV) collection subsystem. The building block of the power station is the 'tile,' a self-contained element that performs PV energy collection, conversion to radio frequency (RF), and transmission to earth. To minimize PV mass, we select a 1D, 10\u201320X parabolic trough concentrator geometry, which provides cooling and radiation shielding for the cells, and which folds flat for deployment. Here, we discuss the design, fabrication, and testing of the initial PV tile prototypes.", "date": "2017-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "558-561", "id_number": "CaltechAUTHORS:20181108-154443049", "isbn": "978-1-5090-5605-7", "book_title": "2017 IEEE 44th Photovoltaic Specialist Conference (PVSC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20181108-154443049", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Northrop Grumman Corporation" } ] }, "local_group": { "items": [ { "id": "Kavli-Nanoscience-Institute" }, { "id": "Resnick-Sustainability-Institute" }, { "id": "JCAP" }, { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/PVSC.2017.8366621", "resource_type": "book_section", "pub_year": "2017", "author_list": "Kelzenberg, Michael D.; Espinet-Gonz\u00e1lez, Pilar; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/pj5qc-23d13", "eprint_id": 79028, "eprint_status": "archive", "datestamp": "2023-08-19 03:23:16", "lastmod": "2023-10-26 14:32:49", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Fully integrated CMOS X-Band power amplifier quad with current reuse and dynamic digital feedback (DDF) capabilities", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2017 IEEE.\n\nFinancial support from the Northrop Grumman Corporation is gratefully acknowledged.", "abstract": "A 10GHz fully-integrated stacked PA quad with dynamic digital feedback and control loops provides total output power of 200mW at 37% PAE. It utilizes data provided by multiple on-chip sensors to maintain safe operating conditions and regulate the individual power PA power supply voltages and independent power control for each PA. This digitally controlled stacked PA quad with on-chip matching allows higher operation voltages while maintaining current consumption constant, leading to higher overall system efficiency, as ohmic drop losses under large supply-to-breakdown voltage ratios are reduced.", "date": "2017-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "208-211", "id_number": "CaltechAUTHORS:20170712-150437498", "isbn": "978-1-5090-4626-3", "book_title": "2017 IEEE Radio Frequency Integrated Circuits Symposium (RFIC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170712-150437498", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Northrop Grumman Corporation" } ] }, "doi": "10.1109/RFIC.2017.7969054", "resource_type": "book_section", "pub_year": "2017", "author_list": "Bohn, Florian; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/xzx6p-ems21", "eprint_id": 90783, "eprint_status": "archive", "datestamp": "2023-08-19 03:31:07", "lastmod": "2023-10-19 14:52:27", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Naqavi-A", "name": { "family": "Naqavi", "given": "Ali" } }, { "id": "Loke-S-P", "name": { "family": "Loke", "given": "Samuel P." } }, { "id": "Kelzenberg-M-D", "name": { "family": "Kelzenberg", "given": "Michael D." }, "orcid": "0000-0002-6249-2827" }, { "id": "Warmann-E-C", "name": { "family": "Warmann", "given": "Emily C." }, "orcid": "0000-0002-2810-4608" }, { "id": "Espinet-Gonz\u00e1lez-P", "name": { "family": "Espinet-Gonz\u00e1lez", "given": "Pilar" }, "orcid": "0000-0002-7656-0077" }, { "id": "Vaidya-N", "name": { "family": "Vaidya", "given": "Nina" } }, { "id": "Huang-Jing-Shun", "name": { "family": "Huang", "given": "Jing-Shun" }, "orcid": "0000-0002-7531-4691" }, { "id": "Roy-T-A", "name": { "family": "Roy", "given": "Tatiana A." } }, { "id": "Messer-A-J", "name": { "family": "Messer", "given": "Alexander J." } }, { "id": "Vinogradova-T-G", "name": { "family": "Vinogradova", "given": "Tatiana G." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Pellegrino-S", "name": { "family": "Pellegrino", "given": "Sergio" }, "orcid": "0000-0001-9373-3278" }, { "id": "Atwater-H-A", "name": { "family": "Atwater", "given": "Harry A." }, "orcid": "0000-0001-9435-0201" } ] }, "title": "Near-unity ultra-wideband thermal infrared emission for space solar power radiative cooling", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "thermal radiation, radiative cooling, vibrons, polaritons, space solar power", "note": "\u00a9 2017 IEEE. \n\nWe acknowledge financial support from the Northrop Grumman Corporation. A.N. is supported from the Swiss Science National Foundation. The authors acknowledge Mark Kruer of Northrop Grumman Corporation for help in characterization of samples, Tom Tiwald of J. A. Woollam Co. for analyzing the ellipsometry measurements of the polyimide layers, and Lynn Rodman of Nexolve for providing materials and guidance in fabricating the thin polyimide layers.", "abstract": "We report the design, fabrication and characterization of ultrathin metasurfaces that exhibit wideband 300 K thermal emissivity. The emissive behavior of these structures is almost independent of the emission angle. Our ultralight subwavelength-thickness metasurfaces can be fabricated relatively easily and are excellent candidates for radiative cooling in space applications.", "date": "2017-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "521-524", "id_number": "CaltechAUTHORS:20181109-081714215", "isbn": "978-1-5090-5605-7", "book_title": "2017 IEEE 44th Photovoltaic Specialist Conference (PVSC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20181109-081714215", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Northrop Grumman Corporation" }, { "agency": "Swiss National Science Foundation (SNSF)" } ] }, "local_group": { "items": [ { "id": "Space-Solar-Power-Project" } ] }, "doi": "10.1109/PVSC.2017.8366597", "resource_type": "book_section", "pub_year": "2017", "author_list": "Naqavi, Ali; Loke, Samuel P.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jdpzz-92t89", "eprint_id": 82330, "eprint_status": "archive", "datestamp": "2023-08-19 03:28:07", "lastmod": "2023-10-17 22:11:59", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Hashemi-M-R-M", "name": { "family": "Hashemi", "given": "Mohammed Reza M." }, "orcid": "0000-0002-8908-0048" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Proximal-field radiation sensors", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Antenna theory, dielectric substrates, electromagnetic radiation, integrated radiators, on-chip antennas, radiation detectors, sensors", "note": "\u00a9 2017 IEEE. \n\nThe authors would like to thank current and former members of Caltech High-speed Integrated Circuits (CHIC) laboratory and in particular Prof. Steven Bowers of University of Virginia for useful technical discussions.", "abstract": "Proximal-Field Radiation Sensors (PFRS) are introduced as a new set of tools to enable extraction of far-field radiation properties of integrated antennas from the surface waves inside their dielectric substrates. These sensors allow self-characterization, self-calibration, and self-monitoring of the radiation performance for both printed circuit board (PCB) antennas and integrated circuit (IC) antennas without any need to additional test equipment. In this paper, we explain how these sensors can be implemented and demonstrate how the far-field radiation properties can be determined from them. A PCB prototype consisting of two transmitting patch antennas and four integrated PFRS antennas is fabricated and tested to verify the concept and demonstrate the implemented sensors' capabilities to capture the radiation properties such as gain pattern, radiated polarization, and the steering angle of the antenna array as a few examples of radiation sensors applications.", "date": "2017-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1375-1378", "id_number": "CaltechAUTHORS:20171012-160624981", "isbn": "978-1-5090-6360-4", "book_title": "2017 IEEE MTT-S International Microwave Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20171012-160624981", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/MWSYM.2017.8058871", "resource_type": "book_section", "pub_year": "2017", "author_list": "Safaripour, Amirreza; Hashemi, Mohammed Reza M.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2ep65-wns11", "eprint_id": 78652, "eprint_status": "archive", "datestamp": "2023-08-19 02:56:22", "lastmod": "2023-10-26 00:16:00", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fatemi-R", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An 8x8 Heterodyne Lens-less OPA Camera", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2017 Optical Society of America (OSA).\n\nPublished - Cleo_2017_2D_OPA_V7.pdf
", "abstract": "This paper presents an 8x8 optical phased array (OPA) receiver that operates as a lens-less camera using a heterodyne architecture on a thin silicon-photonics integrated SOI substrate. It has a receiving beam width of 0.75\u00b0 and beam steering range of 8\u00b0.", "date": "2017-05", "date_type": "published", "publisher": "Optical Society of America (OSA)", "place_of_pub": "San Jose, CA", "pagerange": "Art. No. JW2A.9", "id_number": "CaltechAUTHORS:20170628-124450870", "isbn": "978-1-943580-27-9", "book_title": "Conference on Lasers and Electro-Optics", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170628-124450870", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/CLEO_AT.2017.JW2A.9", "primary_object": { "basename": "Cleo_2017_2D_OPA_V7.pdf", "url": "https://authors.library.caltech.edu/records/2ep65-wns11/files/Cleo_2017_2D_OPA_V7.pdf" }, "resource_type": "book_section", "pub_year": "2017", "author_list": "Fatemi, Reza; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ckk63-z0e22", "eprint_id": 77144, "eprint_status": "archive", "datestamp": "2023-08-22 19:57:19", "lastmod": "2023-10-25 21:55:05", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hong-Brian", "name": { "family": "Hong", "given": "Brian" }, "orcid": "0000-0001-8099-0312" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Analysis of a balanced analog multiplier for an arbitrary number of signed inputs", "ispublished": "pub", "full_text_status": "public", "keywords": "analog multiplier; Gilbert cell; nonlinear circuit; transistor; intermodulation; bandwidth", "note": "\u00a9 2016 John Wiley & Sons, Ltd. \n\nIssue online: 10 April 2017; Version of record online: 8 August 2016; Manuscript Accepted: 21 June 2016; Manuscript Revised: 17 May 2016; Manuscript Received: 13 January 2016.", "abstract": "We present an extension of the double-balanced current-commutating analog multiplier (also known as the Gilbert cell) that enables the multiplication of an arbitrary number of signed differential input voltages. A general analysis of the circuit for an arbitrary device nonlinearity is provided, and simulations on a bulk CMOS process as well as measurement results of a discrete bipolar implementation are reported.", "date": "2017-04", "date_type": "published", "publication": "International Journal of Circuit Theory and Applications", "volume": "45", "number": "4", "publisher": "Wiley", "pagerange": "483-501", "id_number": "CaltechAUTHORS:20170503-094736352", "issn": "0098-9886", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170503-094736352", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "doi": "10.1002/cta.2243", "resource_type": "article", "pub_year": "2017", "author_list": "Hong, Brian and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hfm5x-7nq73", "eprint_id": 75157, "eprint_status": "archive", "datestamp": "2023-08-22 19:26:33", "lastmod": "2023-10-25 14:47:48", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Parveg-D", "name": { "family": "Parveg", "given": "Dristy" } }, { "id": "Varonen-M", "name": { "family": "Varonen", "given": "Mikko" } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven" } }, { "id": "Tikka-T", "name": { "family": "Tikka", "given": "Tero" } }, { "id": "Kangaslahti-P", "name": { "family": "Kangaslahti", "given": "Pekka" } }, { "id": "Gaier-T", "name": { "family": "Gaier", "given": "Todd" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Halonen-K-A-I", "name": { "family": "Halonen", "given": "Kari A. I." } } ] }, "title": "A 180-GHz CMOS down-converter MMIC for atmospheric remote sensing applications", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Amplifier; CMOS integrated circuit; I/Q; image-rejection; mixers; MMIC; millimeter-wave integrated circuit; remote sensing; sub-harmonic; VCO", "note": "\u00a9 2017 IEEE.\n\nThis work was supported by the Academy of Finland through the FAMOS project and Postdoctoral research post, in part by the Jet Propulsion Laboratory (JPL), California Institute of Technology, under a contract with the National Aeronautics and Space Administration (NASA), and Finnish funding agency for innovation (TEKES) under the 5WAVE project. The measurement was carried out at Millilab, the Millimeter-wave laboratory of Finland and external laboratory of ESA.", "abstract": "In this paper, we study the feasibility of using CMOS circuit blocks for designing future light weight, small in size atmospheric remote sensing receivers. A compact CMOS down-converter is designed which operates from 160 to 188 GHz and includes a sub-harmonically pumped I/Q resistive mixer, two IF amplifiers and a voltage controlled oscillator (VCO) with LO buffer. A measured down-conversion gain of +2.6 dB is achieved with a total dc power consumption of 152 mW using the nominal supply of +1.2 V. The measurement results show a 3 dB IF bandwidth from 1 to 5 GHz and the VCO tuning range is from 85 to 89 GHz. The designed CMOS MMIC down-converter including the probing pads occupies a silicon area of 0.575 mm^2.", "date": "2017-01", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "64-67", "id_number": "CaltechAUTHORS:20170315-152158738", "isbn": "978-1-5090-5237-0", "book_title": "IEEE 17th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170315-152158738", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Academy of Finland" }, { "agency": "NASA" }, { "agency": "Finnish funding agency for innovation (TEKES)" } ] }, "doi": "10.1109/SIRF.2017.7874372", "resource_type": "book_section", "pub_year": "2017", "author_list": "Parveg, Dristy; Varonen, Mikko; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hkff6-qbv73", "eprint_id": 70712, "eprint_status": "archive", "datestamp": "2023-08-20 13:25:24", "lastmod": "2023-10-20 23:21:58", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Mak-J-C-C", "name": { "family": "Mak", "given": "Jason C. C." }, "orcid": "0000-0003-2350-5813" }, { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Jeong-Junho", "name": { "family": "Jeong", "given": "Junho" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Poon-J-K-S", "name": { "family": "Poon", "given": "Joyce K. S." } } ] }, "title": "Binary particle swarm optimized 2 \u00d7 2 power splitters in a standard foundry silicon photonic platform", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2016 Optical Society of America. \n\nReceived 4 July 2016; accepted 26 July 2016; posted 28 July 2016 (Doc. ID 269813); published 12 August 2016. \n\nFunding: \nNatural Sciences and Engineering Research Council of Canada (NSERC); Canada Research Chairs; CMC Microsystems (CMC). \n\nAccess to the A*STAR IME Baseline Silicon Photonics process was partially sponsored by CMC Microsystems.\n\nPublished - ol-41-16-3868.pdf
", "abstract": "Compact power splitters designed ab initio using binary particle swarm optimization in a 2D mesh for a standard foundry silicon photonic platform are studied. Designs with a 4.8\u2009\u2009\u03bcm\u00d74.8\u2009\u2009\u03bcm footprint composed of 200\u2009\u2009nm\u00d7200\u2009\u2009nm and 100\u2009\u2009nm\u00d7100\u2009\u2009nm cells are demonstrated. Despite not respecting design rules, the design with the smaller cells had lower insertion losses and broader bandwidth and showed consistent behavior across the wafer. Deviations between design and experiments point to the need for further investigations of the minimum feature dimensions.", "date": "2016-08-15", "date_type": "published", "publication": "Optics Letters", "volume": "41", "number": "16", "publisher": "Optical Society of America", "pagerange": "3868-3871", "id_number": "CaltechAUTHORS:20160930-143636537", "issn": "0146-9592", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20160930-143636537", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Natural Sciences and Engineering Research Council of Canada (NSERC)" }, { "agency": "Canada Research Chairs Program" }, { "agency": "CMC Microsystems" } ] }, "doi": "10.1364/OL.41.003868", "primary_object": { "basename": "ol-41-16-3868.pdf", "url": "https://authors.library.caltech.edu/records/hkff6-qbv73/files/ol-41-16-3868.pdf" }, "resource_type": "article", "pub_year": "2016", "author_list": "Mak, Jason C. C.; Sideris, Constantine; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/efptg-h6832", "eprint_id": 69374, "eprint_status": "archive", "datestamp": "2023-08-20 13:17:34", "lastmod": "2023-10-23 15:14:18", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hong-B", "name": { "family": "Hong", "given": "B." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Upper and lower bounds on a system's bandwidth based on its zero-value time constants", "ispublished": "pub", "full_text_status": "restricted", "note": "\u00a9 2016 The Institution of Engineering and Technology. \n\nSubmitted: 12 May 2016; E-first: 27 June 2016. \n\nThe authors thank P. Khial, A. Taeb, K.-C. Chen, and A. Agarwal, all with Caltech, for their enlightening technical discussions.", "abstract": "It is shown that for systems with no zeros and no complex poles, the classical estimate of the 3 dB cutoff frequency based on the sum of the zero-value time constants (ZVTs) is always conservative. A non-trivial upper bound on the cutoff frequency which depends only on the sum of the ZVTs and the system's order is also derived. It is demonstrated that both bounds are tight \u2013 specifically, the lower bound is approached by making one of the system's poles increasingly dominant, whereas the best possible bandwidth is achieved when all of the system's poles overlap. The impact of complex poles on the results is also discussed.", "date": "2016-08-04", "date_type": "published", "publication": "Electronics Letters", "volume": "52", "number": "16", "publisher": "Institution of Engineering and Technology", "pagerange": "1383-1385", "id_number": "CaltechAUTHORS:20160802-080138135", "issn": "0013-5194", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20160802-080138135", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1049/el.2016.1724", "resource_type": "article", "pub_year": "2016", "author_list": "Hong, B. and Hajimiri, A." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/g1knm-dmc94", "eprint_id": 71086, "eprint_status": "archive", "datestamp": "2023-08-20 13:17:51", "lastmod": "2023-10-23 15:14:21", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hong-Brian-D", "name": { "family": "Hong", "given": "B." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Upper and lower bounds on a system's bandwidth based on its zero-value time constants", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2016 Institution of Engineering and Technology. \n\nReceived 12/05/2016, Published 27/06/2016.\n\nErratum: Upper and lower bounds on a system's bandwidth based on its zero-value time constants\nElectronics Letters(2016),52(16):1418\nhttp://dx.doi.org/10.1049/el.2016.2499\n\nAccepted Version - FinalDraft.pdf
", "abstract": "It is shown that for systems with no zeros and no complex poles, the classical estimate of the 3 dB cutoff frequency based on the sum of the zero-value time constants (ZVTs) is always conservative. The opposite problem is also solved, whereby a non-trivial upper bound on the cutoff frequency which depends only on the sum of the ZVTs and the system's order is derived. It is demonstrated that both bounds\nare tight \u2013 specifically, the lower bound is approached by making one of the system's poles increasingly dominant, whereas the best possible bandwidth is achieved when all of the system's poles overlap. The impact of complex poles on the results is also discussed.", "date": "2016-08-04", "date_type": "published", "publication": "Electronics Letters", "volume": "52", "number": "16", "publisher": "Institution of Engineering and Technology (IET)", "pagerange": "1383-1384", "id_number": "CaltechAUTHORS:20161014-084018774", "issn": "0013-5194", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20161014-084018774", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1049/e1.2016.1724", "primary_object": { "basename": "FinalDraft.pdf", "url": "https://authors.library.caltech.edu/records/g1knm-dmc94/files/FinalDraft.pdf" }, "resource_type": "article", "pub_year": "2016", "author_list": "Hong, B. and Hajimiri, A." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/4f2xp-t3e22", "eprint_id": 69931, "eprint_status": "archive", "datestamp": "2023-08-20 12:38:33", "lastmod": "2023-10-20 21:13:06", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Designing Optimal Surface Currents for Efficient On-Chip mm-Wave Radiators With Active Circuitry", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Beamforming, CMOS, distributed active radiation, EIRP, near field, on-chip antenna, power combining, power generation, radiation, substrate modes, terahertz (THz)", "note": "\u00a9 2016 IEEE. \n\nManuscript received August 17, 2015; revised January 16, 2016 and April 28, 2016; accepted May 22, 2016. Date of publication June 14, 2016; date of current version July 7, 2016.", "abstract": "Integrated antennas have become the attractive solution as the electromagnetic (EM) interface for mm-Wave and terahertz ICs. However, on-chip antennas lying at the interface between two different dielectrics (such as air and substrate) can channel most of its power into multiple nonradiative surface-wave modes, reducing efficiency drastically. In this paper, we consider the following problem: given a dielectric substrate, what is the theoretical optimal 2-D surface-current configuration that collectively suppresses surface waves and maximizes radiation efficiency with the desirable radiation pattern? This paper also discusses demonstrative examples of a circuit-EM codesign approach to realize the approximation of such current configurations. Measurement results of radiating arrays in CMOS at mm-Wave frequencies (250-300 GHz) are presented and compared with theoretical predictions.", "date": "2016-07", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "64", "number": "7", "publisher": "IEEE", "pagerange": "1976-1988", "id_number": "CaltechAUTHORS:20160825-104818056", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20160825-104818056", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TMTT.2016.2573278", "resource_type": "article", "pub_year": "2016", "author_list": "Sengupta, Kaushik and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/epd77-b4z42", "eprint_id": 73432, "eprint_status": "archive", "datestamp": "2023-08-20 11:56:45", "lastmod": "2023-10-24 15:20:57", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fatemi-R", "name": { "family": "Fatemi", "given": "Reza" }, "orcid": "0000-0001-9081-2608" }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A One-Dimensional Heterodyne Lens-Free OPA Camera", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2016 Optical Society of America. \n\nThe authors would like to thank OpSIS and Drs. T. Baehr-Jones and M. Hochberg for assistance with chip fabrication and Aroutin Khachaturian for help in measurement setup preparation.", "abstract": "This paper presents a thin silicon-photonics integrated one-dimensional lens-free camera based on an optical phased array receiver on an SOI process. The camera has beam steering range in excess of 60\u00b0 with no blind spots, a beam width of 0.74\u00b0, and is used to form images.", "date": "2016-06", "date_type": "published", "publisher": "Optical Society of America (OSA)", "place_of_pub": "Washington, DC", "pagerange": "Art. No. STu3G.3", "id_number": "CaltechAUTHORS:20170111-132036140", "isbn": "978-1-943580-11-8", "book_title": "Conference on Lasers and Electro-Optics 2016", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170111-132036140", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/CLEO_SI.2016.STu3G.3", "resource_type": "book_section", "pub_year": "2016", "author_list": "Fatemi, Reza; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/v8v73-xwv09", "eprint_id": 67584, "eprint_status": "archive", "datestamp": "2023-08-20 11:07:41", "lastmod": "2023-10-18 21:18:27", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Parveg-D", "name": { "family": "Parveg", "given": "Dristy" } }, { "id": "Varonen-M", "name": { "family": "Varonen", "given": "Mikko" } }, { "id": "Kangaslahti-P", "name": { "family": "Kangaslahti", "given": "Pekka" } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Tikka-T", "name": { "family": "Tikka", "given": "Tero" } }, { "id": "Gaier-T", "name": { "family": "Gaier", "given": "Todd" } }, { "id": "Halonen-K-A-I", "name": { "family": "Halonen", "given": "Kari A. I." } } ] }, "title": "CMOS I/Q Subharmonic Mixer for Millimeter-Wave Atmospheric Remote Sensing", "ispublished": "pub", "full_text_status": "restricted", "keywords": "CMOS integrated circuit, MMICs, millimeter-wave integrated circuit, mixers, remote sensing", "note": "\u00a9 2016 IEEE. \n\nManuscript received September 22, 2015; revised December 22, 2015; accepted January 10, 2016. Date of publication March 22, 2016; date of current version April 6, 2016. \n\nThis work was supported by the Academy of Finland through the FAMOS project and Postdoctoral research post and in part by the Jet Propulsion Laboratory (JPL), California Institute of Technology, under a contract with the National Aeronautics and Space Administration (NASA).", "abstract": "A compact second harmonic 180 GHz I/Q balanced resistive mixer is realized in a 32-nm SOI CMOS technology for atmospheric remote sensing applications. The MMIC further includes two on-chip IF amplifiers at the mixer's I and Q channels. A conversion gain of +8 dB is achieved with 74 mW of dc power consumption using a 1.2 V supply. The measured IF frequency range is from 1 to 10 GHz. The mixer achieves a 20 dB imagerejection (IR) ratio with an LO input power of +4 dBm. The chip size is 0.75 mm^2 including probing pads.", "date": "2016-04", "date_type": "published", "publication": "IEEE Microwave and Wireless Components Letters", "volume": "26", "number": "4", "publisher": "IEEE", "pagerange": "285-287", "id_number": "CaltechAUTHORS:20160602-142331678", "issn": "1531-1309", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20160602-142331678", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Academy of Finland" }, { "agency": "NASA/JPL/Caltech" } ] }, "doi": "10.1109/LMWC.2016.2537786", "resource_type": "article", "pub_year": "2016", "author_list": "Parveg, Dristy; Varonen, Mikko; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/a457j-1rf46", "eprint_id": 67054, "eprint_status": "archive", "datestamp": "2023-08-20 11:06:07", "lastmod": "2023-10-18 19:54:57", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Dynamic Polarization Control of Two-Dimensional Integrated Phased Arrays", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Antenna theory and design, beam steering, CMOS integrated circuits, electromagnetic (EM) polarization, EM simulation, integrated radiators, millimeter-wave (mm-wave) integrated circuits, on-chip antennas, phased arrays", "note": "\u00a9 2016 IEEE. \n\nManuscript received August 10, 2015; revised December 10, 2015; accepted February 05, 2016. Date of publication March 01, 2016; date of current version April 01, 2016. \n\nThis paper is an expanded version from the IEEE RFIC Symposium, Phoenix, AZ, USA, May 17\u201319, 2015. \n\nThe authors would like to thank A. Pai, California Institute of Technology, and B. Parker, formerly with IBM, for technical assistance, and S. Raman, formerly with the Defense Advanced Research Projects Agency (DARPA), T. Quach, Air Force Research Laboratory (AFRL), and D. Friedman, IBM, for support.", "abstract": "Simultaneous two-dimensional (2-D) beam steering and dynamic polarization control (DPC) of the radiated electric field in 2-D phased arrays ensure polarization matching between the transmitter and receiver antennas in both fixed and mobile wireless systems. Polarization matching is maintained regardless of the polarization, orientation, and location of the receiver antenna in space within the 2-D steering range of the transmitter. This work implements a fully integrated 2 \u00d7 2 DPC phased-array transmitter in a 32-nm CMOS silicon-on-insulator process, radiating at 122.9 GHz. It achieves a maximum effective isotropic radiated power of +12.3 dBm in the broadside direction and enables polarization angle control of the radiated linear and elliptical polarizations across the full range of 0 \u00b0 to 180 \u00b0 with tunable axial ratio down to 1.2 dB to achieve circular polarization and the ability to steer the radiated beam up to 15 \u00b0 in both dimensions.", "date": "2016-04", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "64", "number": "4", "publisher": "IEEE", "pagerange": "1066-1077", "id_number": "CaltechAUTHORS:20160512-123859956", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20160512-123859956", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Defense Advanced Research Projects Agency (DARPA)" }, { "agency": "Air Force Research Laboratory (AFRL)" }, { "agency": "IBM" } ] }, "doi": "10.1109/TMTT.2016.2530704", "resource_type": "article", "pub_year": "2016", "author_list": "Safaripour, Amirreza; Bowers, Steven M.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/yjv2a-kpz24", "eprint_id": 73450, "eprint_status": "archive", "datestamp": "2023-08-20 10:27:45", "lastmod": "2023-10-24 15:22:11", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Varonen-M", "name": { "family": "Varonen", "given": "M." } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "A." }, "orcid": "0000-0001-9758-6156" }, { "id": "Parveg-D", "name": { "family": "Parveg", "given": "D." } }, { "id": "Kangaslahti-P", "name": { "family": "Kangaslahti", "given": "P." } }, { "id": "Gaier-T", "name": { "family": "Gaier", "given": "T." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "200-GHz CMOS amplifier with 9-dB noise figure for atmospheric remote sensing", "ispublished": "pub", "full_text_status": "restricted", "note": "\u00a9 2016 The Institution of Engineering and Technology. \n\nSubmitted: 30 September 2015 E-first: 28 January 2016. \n\nThis work was supported by the Academy of Finland, by Alfred Kordel Foundation and in part by the Jet Propulsion Laboratory, California Institute of Technology, under a contract with the National Aeronautics and Space Administration.", "abstract": "The feasibility of using CMOS technology for RF amplification in atmospheric remote sensing receiver is studied. The design and measurement results of a 200-GHz low-noise amplifier which is fabricated using a 32-nm SOI CMOS technology are presented. The 8-stage amplifier in a common-source configuration achieves a 9-dB noise figure and 25-dB gain with a power consumption of 33 mW.", "date": "2016-02-25", "date_type": "published", "publication": "Electronics Letters", "volume": "52", "number": "5", "publisher": "IET", "pagerange": "369-371", "id_number": "CaltechAUTHORS:20170111-150941985", "issn": "0013-5194", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170111-150941985", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Academy of Finland" }, { "agency": "Alfred Kordel Foundation" }, { "agency": "NASA/JPL/Caltech" } ] }, "doi": "10.1049/el.2015.3337", "resource_type": "article", "pub_year": "2016", "author_list": "Varonen, M.; Safaripour, A.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/rks3h-8rz16", "eprint_id": 106131, "eprint_status": "archive", "datestamp": "2023-08-20 08:30:04", "lastmod": "2023-10-20 23:07:20", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Pai-Alex", "name": { "family": "Pai", "given": "Alex" } }, { "id": "Pailevaniant-Torkom", "name": { "family": "Pailevaniant", "given": "Torkom" } }, { "id": "White-E-E", "name": { "family": "White", "given": "Ethan" } }, { "id": "Dasgupta-Kaushik", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Sherman-Jeff", "name": { "family": "Sherman", "given": "Jeff" } }, { "id": "Alizadeh-Darya", "name": { "family": "Alizadeh", "given": "Darya" } }, { "id": "Cao-Pengpeng", "name": { "family": "Cao", "given": "Pengpeng" } }, { "id": "Berlin-J-M", "name": { "family": "Berlin", "given": "Jacob M." }, "orcid": "0000-0001-7498-766X" }, { "id": "Badie-Behnam", "name": { "family": "Badie", "given": "Behnam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Cell-culturing, imaging, and magnetic manipulation using a compact 3D printed chamber", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2015 Curran Associates, Inc.", "abstract": "[no abstract]", "date": "2015-10", "date_type": "published", "publisher": "Curran Associates", "id_number": "CaltechAUTHORS:20201019-074355743", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20201019-074355743", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "resource_type": "book_section", "pub_year": "2015", "author_list": "Pai, Alex; Pailevaniant, Torkom; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/qn6nw-7h674", "eprint_id": 62976, "eprint_status": "archive", "datestamp": "2023-08-20 08:25:23", "lastmod": "2023-10-25 23:01:16", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Saeedi-S", "name": { "family": "Saeedi", "given": "Saman" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Emami-A", "name": { "family": "Emami", "given": "Azita" }, "orcid": "0000-0002-6945-9958" } ] }, "title": "Differential Optical Ring Modulator: Breaking the Bandwidth/Quality-factor Trade-off", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2015 IEEE. \n\nEcoc 2015 - ID: 0766. \n\nAuthors would like to thank MICS and CHIC lab members for help during measurement and OpSIS program for device fabrication.", "abstract": "We present a differential ring modulator that breaks the optical bandwidth/quality factor trade-off known to limit the speed of high-Q ring modulators. This structure maintains a constant energy in the ring to avoid pattern-dependent power droop.", "date": "2015-10", "date_type": "published", "publisher": "IEEE", "id_number": "CaltechAUTHORS:20151216-105345451", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151216-105345451", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "doi": "10.1109/ECOC.2015.7341731", "resource_type": "book_section", "pub_year": "2015", "author_list": "Saeedi, Saman; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/a3dgv-tk387", "eprint_id": 62351, "eprint_status": "archive", "datestamp": "2023-08-20 08:24:16", "lastmod": "2023-10-25 17:04:53", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Khachaturian-A", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Zhou-Andy", "name": { "family": "Zhou", "given": "Andy" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Monolithic Mach-Zehnder Interferometer Modulator in an unmodified CMOS process", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2015 IEEE.\n\nThe authors would like to thank MOSIS for chip fabrication as well as Professor Hossein Hashemi of USC and Nankyung Suh Cockerham of MOSIS for technical discussion about CSOI7RF process parameters.", "abstract": "A compact monolithically integrated Mach-Zehnder Interferometer Modulator operating above 1Gbps is demonstrated in an unmodified 180nm CMOS SOI process. This 50\u00b5m long structure allows for high density integration of photonics modulators and electrical driver circuitry on a single standard CMOS chip.", "date": "2015-10", "date_type": "published", "publisher": "IEEE", "id_number": "CaltechAUTHORS:20151124-075124643", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151124-075124643", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/IPCon.2015.7323627", "resource_type": "book_section", "pub_year": "2015", "author_list": "Khachaturian, Aroutin; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/1rszz-fb870", "eprint_id": 61020, "eprint_status": "archive", "datestamp": "2023-08-20 07:59:17", "lastmod": "2023-10-24 23:18:51", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Mutual Synchronization for Power Generation and Beam-Steering in CMOS With On-Chip Sense Antennas Near 200 GHz", "ispublished": "pub", "full_text_status": "restricted", "note": "\u00a9 2015 IEEE. \n\nManuscript received September 19, 2014; revised February 20, 2015; accepted\nJuly 06, 2015. Date of publication July 31, 2015; date of current version\nSeptember 01, 2015. \n\nThe authors would like to thank Prof. J. Zmuidzinas, Prof. G. Blake, D. Miller, Dr. P. Siegel, Prof. D. Rutledge, and Dr. Sandy Weinreb for technical discussions and providing some of the measurement equipments.", "abstract": "In this paper, we introduce the concept of near-field synchronization as an efficient, scalable, and robust method to synchronize a 2-D array of mutually coupled oscillators for beam-forming at frequencies above f_(max) of a technology. The method employs an array of on-chip sense antennas to probe electromagnetic near-fields of the on-chip radiators. These sense antennas are then coupled to each other appropriately through a network that establishes the synchronized state as the lowest energy state. A circuit-electromagnetic co-design methodology is employed to demonstrate beam-steering near 200 GHz with a synchronized 2 \u00d7 2 array. Each element of the array is a traveling-wave oscillator with the nonlinear active devices selectively radiating its second harmonic through the same electromagnetic structure. The beam-pattern can be varied by more than 70\u00b0 in both azimuth and elevation. The chip is realized in 65-nm bulk CMOS.", "date": "2015-09", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "63", "number": "9", "publisher": "IEEE", "pagerange": "2867-2876", "id_number": "CaltechAUTHORS:20151012-154703698", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151012-154703698", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TMTT.2015.2457902", "resource_type": "article", "pub_year": "2015", "author_list": "Sengupta, Kaushik and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jk49n-ay157", "eprint_id": 60691, "eprint_status": "archive", "datestamp": "2023-08-20 07:43:17", "lastmod": "2023-10-24 21:06:27", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aflatouni-F", "name": { "family": "Aflatouni", "given": "Firooz" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Rekhi-A", "name": { "family": "Rekhi", "given": "Angad" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Nanophotonic projection system", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2015 OSA.\n\nReceived 13 Apr 2015; revised 23 Jun 2015; accepted 10 Jul 2015; published 4 Aug 2015.\n\nWe thank OpSIS foundry for the fabrication of the chips and Profs. Micheal Hochberg and Tom Baehr-Jones from University of Delaware for valuable discussions on fabrication process.\n\nPublished - oe-23-16-21012.pdf
", "abstract": "Low-power integrated projection technology can play a key role in development of low-cost mobile devices with built-in high-resolution projectors. Low-cost 3D imaging and holography systems are also among applications of such a technology. In this paper, an integrated projection system based on a two-dimensional optical phased array with fast beam steering capability is reported. Forward biased p-i-n phase modulators with 200MHz bandwidth are used per each array element for rapid phase control. An optimization algorithm is implemented to compensate for the phase dependent attenuation of the p-i-n modulators. Using rapid vector scanning technique, images were formed and recorded within a single snapshot of the IR camera.", "date": "2015-08-10", "date_type": "published", "publication": "Optics Express", "volume": "23", "number": "16", "publisher": "Optical Society of America", "pagerange": "21012-21022", "id_number": "CaltechAUTHORS:20151001-111516703", "issn": "1094-4087", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151001-111516703", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/OE.23.021012", "primary_object": { "basename": "oe-23-16-21012.pdf", "url": "https://authors.library.caltech.edu/records/jk49n-ay157/files/oe-23-16-21012.pdf" }, "resource_type": "article", "pub_year": "2015", "author_list": "Aflatouni, Firooz; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hnbk2-w6c54", "eprint_id": 61621, "eprint_status": "archive", "datestamp": "2023-08-20 07:37:02", "lastmod": "2023-10-25 15:02:59", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Khachaturian-A", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A compact spiral Mach-Zehnder Interferometer Modulator on SOI process", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2015 IEEE.\n\nThe authors would like to thank OpSIS for chip fabrication.", "abstract": "A compact, spiral Mach-Zehnder Interferometer Modulator with 9GHz 3dB-band width has been demonstrated. The spiral MZ1 structure allows for high density integration and reduces the cost by wrapping two 3mm long phase shifters in a 550\u03bcm\u00d7650\u03bcm silicon area.", "date": "2015-08", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "151-152", "id_number": "CaltechAUTHORS:20151028-075731448", "isbn": "978-1-4799-8254-7", "book_title": "2015 IEEE 12th International Conference on Group IV Photonics (GFP)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151028-075731448", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/Group4.2015.7305996", "resource_type": "book_section", "pub_year": "2015", "author_list": "Khachaturian, Aroutin; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/td1rs-39e74", "eprint_id": 61623, "eprint_status": "archive", "datestamp": "2023-08-20 07:37:09", "lastmod": "2023-10-25 15:03:06", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Hybrid Silicon Photonics and Electronics Solutions for Communications, Sensing, and Imaging", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2015 IEEE. Date of Conference: 26-28 Aug. 2015. \n\nThe author would like to thank Mr. B. Abiri of Caltech and Dr. F. Aflatouni formerly of Caltech (currently with University of Pennsylvania) for their contributions to the original work and Dr. T. Baehr-Johns and Dr. M. Hochberg of Coriant Inc. (formerly with OpSIS) for their assistance with chip fabrication.", "abstract": "Silicon photonics offer large bandwidths and low-loss delay, while integrated electronics can provide gain and complex signal processing with much lower overhead. Here, we will discuss a few examples of such hybrid solutions to demonstrate the potential of a more holistic approach to silicon integrated systems.", "date": "2015-08", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "193-194", "id_number": "CaltechAUTHORS:20151028-075948528", "isbn": "978-1-4799-8254-7", "book_title": "2015 IEEE 12th International Conference on Group IV Photonics (GFP)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151028-075948528", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/Group4.2015.7305902", "resource_type": "book_section", "pub_year": "2015", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/krk7t-akq11", "eprint_id": 61620, "eprint_status": "archive", "datestamp": "2023-08-20 07:08:12", "lastmod": "2023-10-25 15:02:56", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Yang-Chen", "name": { "family": "Yang", "given": "Chen" } }, { "id": "Wu-Sung-Yueh", "name": { "family": "Wu", "given": "Sung-Yueh" } }, { "id": "Sammoura-F", "name": { "family": "Sammoura", "given": "Firas" } }, { "id": "Lin-Liwei", "name": { "family": "Lin", "given": "Liwei" } }, { "id": "Alon-E", "name": { "family": "Alon", "given": "Elad" } } ] }, "title": "Automated Design of a 3D Printed Waveguide Surface Coupler", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2015 IEEE. Date of Conference: 19-24 July 2015.", "abstract": "We have implemented an automated optimization algorithm which generates de-novo EM structures under specified design objectives. We demonstrated a particular design of a surface coupling antenna which is capable of launching energy efficiently into the main mode of a plastic waveguide. A 3D printer was used to print the designed system to verify our results. The maximum available power gain of the system was measured as 0.128, implying that the coupler achieves an efficiency of at least 36% at the designed center frequency of 9.6GHz. The actual coupler efficiency is believed to be much higher because the polymer used is very lossy in this frequency range.", "date": "2015-07", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "318-319", "id_number": "CaltechAUTHORS:20151028-073850397", "isbn": "978-1-4799-7815-1", "book_title": "2015 IEEE Antennas and Propagation Society International Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151028-073850397", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/APS.2015.7304545", "resource_type": "book_section", "pub_year": "2015", "author_list": "Sideris, Constantine; Hajimiri, Ali; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/x7r7g-2xw89", "eprint_id": 57953, "eprint_status": "archive", "datestamp": "2023-08-20 06:13:05", "lastmod": "2023-10-23 17:56:08", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "White-Ethan-E", "name": { "family": "White", "given": "Ethan E." } }, { "id": "Pai-Alex", "name": { "family": "Pai", "given": "Alex" } }, { "id": "Weng-Yiming", "name": { "family": "Weng", "given": "Yiming" } }, { "id": "Suresh-Anil-K", "name": { "family": "Suresh", "given": "Anil K." } }, { "id": "Van-Haute-Dseriee", "name": { "family": "Van Haute", "given": "Desiree" } }, { "id": "Pailevanian-Torkom", "name": { "family": "Pailevanian", "given": "Torkom" } }, { "id": "Alizadeh-Darya", "name": { "family": "Alizadeh", "given": "Darya" }, "orcid": "0000-0002-8470-5424" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Badie-Behnam", "name": { "family": "Badie", "given": "Behnam" }, "orcid": "0000-0001-9811-9306" }, { "id": "Berlin-Jacob-M", "name": { "family": "Berlin", "given": "Jacob M." }, "orcid": "0000-0001-7498-766X" } ] }, "title": "Functionalized iron oxide nanoparticles for controlling the movement of immune cells", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2015 Royal Society of Chemistry.\n\nReceived 19th August 2013; accepted 24th March 2015.\n\nWe gratefully acknowledge ICP-MS instrumentation under the supervision of Nathan Dalleska at the Environmental Analysis Center at the California Institute of Technology. We thank Denise Keen from the Mass Spectrometry and Proteomics Core Facility at the Beckman Research Institute of the City of Hope for assistance with mass spectrometry. The authors gratefully acknowledge Marcia M. Miller, Zhuo Li and Ricardo Zerda for assistance with the TEM from the COH Electron Microscope Core Facility. The authors gratefully acknowledge Brian Armstrong and Tina Patel for assistance with fluorescent and bright field microscopy from the Light Microscopy Digital Imaging Core. The authors gratefully acknowledge Kaushik Dasgupta and Jeff Sherman for their dedicated assistance in magnetic manipulation of magnetized cells. The authors would like to also thank Brian Hong for proofreading this manuscript. Finally, the authors would like to thank ONR N00014-02-1 0958 for funding for the TEM, NSF DBI-9970143 for funding for the Ultramicrotome, R21NS081594, R01CA155769, R21CA189223, City of Hope-Caltech Biomedical Research Initiative, The Kenneth T. and Eileen L. Norris Foundation, STOP Cancer, and the ThinkCure! Foundation for research funding. Research reported in this publication included work performed in the Light Microscopy Digital Imaging and Electron Microscopy Cores supported by the National Cancer Institute of the National Institutes of Health under award number P30CA33572. \n\nElectronic supplementary information (ESI) available: Transmission electron microscopy images of the particles, additional independent experiments for the NF\u03baB activity and exocytosis assays, TEM images for the SPION untreated cells, bright field microscopy images of the cells alone in the presence and absence of magnet, images of the magnetic movement experiments at higher doses of SPION, full uncropped images of the post-migration LIVE/DEAD assay, and a video file of cell movement.\n\nPublished - c3nr04421a.pdf
Supplemental Material - c3nr04421a1.pdf
Supplemental Material - c3nr04421a2.zip
", "abstract": "Immunotherapy is currently being investigated for the treatment of many diseases, including cancer. The ability to control the location of immune cells during or following activation would represent a powerful new technique for this field. Targeted magnetic delivery is emerging as a technique for controlling cell movement and localization. Here we show that this technique can be extended to microglia, the primary phagocytic immune cells in the central nervous system. The magnetized microglia were generated by loading the cells with iron oxide nanoparticles functionalized with CpG oligonucleotides, serving as a proof of principle that nanoparticles can be used to both deliver an immunostimulatory cargo to cells and to control the movement of the cells. The nanoparticle-oligonucleotide conjugates are efficiently internalized, non-toxic, and immunostimulatory. We demonstrate that the in vitro migration of the adherent, loaded microglia can be controlled by an external magnetic field and that magnetically-induced migration is non-cytotoxic. In order to capture video of this magnetically-induced migration of loaded cells, a novel 3D-printed \"cell box\" was designed to facilitate our imaging application. Analysis of cell movement velocities clearly demonstrate increased cell velocities toward the magnet. These studies represent the initial step towards our final goal of using nanoparticles to both activate immune cells and to control their trafficking within the diseased brain.", "date": "2015-05-07", "date_type": "published", "publication": "Nanoscale", "volume": "7", "number": "17", "publisher": "Royal Society of Chemistry", "pagerange": "7780-7789", "id_number": "CaltechAUTHORS:20150602-162920874", "issn": "2040-3372", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150602-162920874", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-02-1 0958" }, { "agency": "NSF", "grant_number": "DBI-9970143" }, { "agency": "NIH", "grant_number": "R21NS081594" }, { "agency": "NIH", "grant_number": "R01CA155769" }, { "agency": "NIH", "grant_number": "R21CA189223" }, { "agency": "City of Hope-Caltech Biomedical Research Initiative" }, { "agency": "Kenneth T. and Eileen L. Norris Foundation" }, { "agency": "STOP Cancer" }, { "agency": "ThinkCure! Foundation" }, { "agency": "National Cancer Institute" }, { "agency": "NIH", "grant_number": "P30CA33572" } ] }, "doi": "10.1039/c3nr04421a", "pmcid": "PMC4409571", "primary_object": { "basename": "c3nr04421a2.zip", "url": "https://authors.library.caltech.edu/records/x7r7g-2xw89/files/c3nr04421a2.zip" }, "related_objects": [ { "basename": "c3nr04421a.pdf", "url": "https://authors.library.caltech.edu/records/x7r7g-2xw89/files/c3nr04421a.pdf" }, { "basename": "c3nr04421a1.pdf", "url": "https://authors.library.caltech.edu/records/x7r7g-2xw89/files/c3nr04421a1.pdf" } ], "resource_type": "article", "pub_year": "2015", "author_list": "White, Ethan E.; Pai, Alex; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/psyge-1sa91", "eprint_id": 62534, "eprint_status": "archive", "datestamp": "2023-08-20 06:06:16", "lastmod": "2023-10-25 17:13:58", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 2\u00d72 Dynamic Polarization-Controlling integrated phased array", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Antenna arrays, CMOS integrated circuits, Electromagnetic radiation, Phased arrays, Millimeter wave integrated circuits, Beam steering", "note": "\u00a9 2015 IEEE. \n\nThe authors thank Alex Pai of Caltech and Ben Parker formerly of IBM for technical assistance and Sanjay Raman formerly of DARPA, Tony Quach of AFRL, and Daniel Friedman of IBM for support.", "abstract": "Radiator arrays with Dynamic Polarization Control (DPC) and 2D beam steering enable polarization matching to the receiver antenna regardless of its polarization, orientation, and location. A fully integrated 122.9 GHz 2\u00d72 DPC multi-port driven phased array radiates all linear polarizations (0\u00b0\u2013180\u00b0 polarization angles) with axial ratios above 14 dB, and controls the axial ratio from 1.2 dB (circular) to 17.8 dB (linear) with a maximum EIRP of +12.3 dBm and 2D beam steering of up to 15\u00b0.", "date": "2015-05", "date_type": "published", "publisher": "IEEE", "id_number": "CaltechAUTHORS:20151202-094628341", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151202-094628341", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/RFIC.2015.7337744", "resource_type": "book_section", "pub_year": "2015", "author_list": "Safaripour, Amirreza; Bowers, Steven M.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/k5ngx-5s849", "eprint_id": 57377, "eprint_status": "archive", "datestamp": "2023-08-20 06:00:48", "lastmod": "2023-10-23 17:20:07", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Dynamic Polarization Control", "ispublished": "pub", "full_text_status": "restricted", "note": "\u00a9 2015 IEEE.\n\nManuscript received July 21, 2014; revised December 15, 2014; accepted\nJanuary 29, 2015. Date of publication March 05, 2015; date of current version\nApril 30, 2015. This paper was approved by Associate Editor Brian A. Floyd.\n\nThe authors thank K. Dasgupta and A. Pai for technical discussions, and S. Raman, formerly of DARPA, and T. Quach of AFRL for support.", "abstract": "Dynamic polarization control (DPC) is the method of setting the polarization of the far-field electric field generated by a radiating antenna entirely electronically in order to maintain polarization matching with the receiving antenna regardless of its polarization or orientation in space. This work implements a fully integrated 2 \u00d7 1 phased array radiator in 32 nm CMOS SOI at 105.5 GHz with DPC. The system consists of a central locking oscillator that phase locks oscillators within the core of each antenna followed by three amplification stages with variable gain that drive the antennas. By controlling the amplitude and phase of two orthogonal polarized subparts of each multi-port antenna, various far-field polarizations can be realized. The array is capable of beam steering, controlling the polarization angle across the entire tuning range of 0\u00b0 to 180\u00b0 while maintaining axial ratios above 10 dB, and controlling the axial ratio from 2.4 dB (near circular) to 14 dB (linear) in various directions of radiation. It radiates a maximum EIRP of 7.8 dBm with a total radiated power of 0.9 mW. To the best of the authors' knowledge, this work presents the first integrated radiator with dynamically controllable polarization.", "date": "2015-05", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "50", "number": "5", "publisher": "IEEE", "pagerange": "1224-1236", "id_number": "CaltechAUTHORS:20150508-105530561", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150508-105530561", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2015.2403313", "resource_type": "article", "pub_year": "2015", "author_list": "Bowers, Steven M.; Safaripour, Amirreza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hw8r9-wz759", "eprint_id": 57950, "eprint_status": "archive", "datestamp": "2023-08-20 06:02:42", "lastmod": "2023-10-23 17:55:59", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Seo-Dongjin", "name": { "family": "Seo", "given": "Dongjin" } }, { "id": "Yang-Lita", "name": { "family": "Yang", "given": "Lita" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Silicon Integrated 280 GHz Imaging Chipset With 4x4 SiGe Receiver Array and CMOS Source", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Beam-forming, BiCMOS, imaging, near-field, on-chip antenna, radiation, substrate modes, terahertz (THz)", "note": "\u00a9 2015 IEEE. Manuscript received July 31, 2014; revised November 26, 2014 and January 27, 2015; accepted March 03, 2015. Date of publication April 10, 2015; date of current version April 29, 2015.\n\nThe authors would like to thank UMC, Taiwan, for providing fabrication facilities, and Prof. J. Zmuidzinas, Prof. G. Blake, D.Miller from Caltech, Pasadena, CA, USA, for providing some of the measurement equipments. The authors also acknowledge the help received regarding technical discussions from Dr. P. Siegel, Prof. D. Rutledge, and Dr. S. Weinreb.", "abstract": "In this paper, we report an integrated silicon-based active imaging chipset with a detector array in 0.13 \u03bcm SiGe process and a CMOS-based source array operating in the 240-290 GHz range. The chipset operates at room-temperature with no external RF or optical sources, high-resistivity silicon lenses (HRSi) or waveguides or any custom fabrication options, such as high-resistivity substrates or substrate thinning. The receiver chip consists of a 2-D array of 16 pixels, measuring 2.5 mm \u00d7 2.5 mm with integrated antennas. An electromagnetic-active circuit co-design approach is carried out to ensure high-efficiency interface with detectors operating above cut-off frequencies with good impedance matching, near-optimal noise performance, while simultaneously suppressing the dominant surface-wave modes in a lensless lossy bulk silicon substrate. The array performance is characterized in the WR-3 band between 220-320 GHz. At the designed frequency of 260 GHz, the NEP of all pixels stays between 7.9 pW/\u221a{Hz}-8.8 pW/\u221a{Hz}. The imaging chipset consists of this 2D detector array chip and a CMOS-based source array chip measuring 0.8 mm \u00d7 0.8 mm. The entire system dissipates less than 180 mW of DC power, representing a truly integrated solution.", "date": "2015-05", "date_type": "published", "publication": "IEEE Transactions on Terahertz Science and Technology", "volume": "5", "number": "3", "publisher": "IEEE", "pagerange": "427-437", "id_number": "CaltechAUTHORS:20150602-153730543", "issn": "2156-342X", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150602-153730543", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TTHZ.2015.2414826", "resource_type": "article", "pub_year": "2015", "author_list": "Sengupta, Kaushik; Seo, Dongjin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/j3fek-8xv08", "eprint_id": 57344, "eprint_status": "archive", "datestamp": "2023-08-20 05:37:46", "lastmod": "2023-10-23 17:17:55", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Pai-A", "name": { "family": "Pai", "given": "Alex" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A mm-Wave Segmented Power Mixer", "ispublished": "pub", "full_text_status": "restricted", "keywords": "CMOS, millimeter-wave (mm-wave), nonconstant\nenvelope modulation, power mixer", "note": "\u00a9 2015 IEEE. Manuscript received September 07, 2014; revised January 07, 2015; accepted February 22, 2015. Date of publication March 13, 2015; date of current version\nApril 02, 2015. \n\nThis work was supported by the Air Force Research Laboratory. This paper is an expanded version from the IEEE RFIC Symposium, Tampa Bay, FL, USA, June 1\u20133, 2014.\n\n\nThe authors would like to thank B. Abiri, S. M. Bowers,\nA. Safaripour, and B. Hong, all with the CHIC Laboratory, California Institute of Technology, for technical suggestions and S. Raman, formerly of the Defense Advanced Research Projects Agency (DARPA) and T. Quach, Army Research Laboratory (AFRL), for support.", "abstract": "The segmented power-mixer array based mm-wave power generation architecture is demonstrated to be an energy-efficient technique for generating high-speed nonconstant envelope modulations. High output power levels are achieved by efficiently combining power from several power mixers using an area efficient dual-primary distributed active transformer. The segmented scheme leads to back-off efficiency improvements while simultaneously providing direct envelope modulation eliminating the need for high-speed high-efficiency supply modulators. The power mixer is implemented in a 32-nm silicon-on-insulator CMOS process and provides a peak output power of 19.1 dBm at 51 GHz with a drain efficiency of 14.2% and a peak power-added efficiency of 10.1%. High-speed constant (binary phase-shift keying, quadrature phase-shift keying), as well as nonconstant envelope modulations ( m-amplitude shift keying, quadrature amplitude modulation) show the versatility of the architecture towards spectrally efficient modulation schemes. Reliability against segment breakdown over long periods of time at 30% higher supply voltages has also been demonstrated.", "date": "2015-04", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "63", "number": "4", "publisher": "IEEE", "pagerange": "1118-1129", "id_number": "CaltechAUTHORS:20150507-141744018", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150507-141744018", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Research Laboratory (AFRL)" } ] }, "doi": "10.1109/TMTT.2015.2409094", "resource_type": "article", "pub_year": "2015", "author_list": "Dasgupta, Kaushik; Sengupta, Kaushik; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7z409-crs43", "eprint_id": 57366, "eprint_status": "archive", "datestamp": "2023-08-20 05:38:03", "lastmod": "2023-10-23 17:19:16", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An Integrated Slot-Ring Traveling-Wave Radiator", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Antenna theory and design, CMOS integrated circuits, electromagnetic (EM) simulation, integrated microwave circuits, integrated radiators, millimeter-wave silicon RF integrated circuits (RFICs), on-chip antennas", "note": "\u00a9 2015 IEEE. \n\nManuscript received September 07, 2014; revised January 22, 2015; accepted February 11, 2015. Date of publication March 06, 2015; date of current version April 02, 2015. \n\nThis paper is an expanded version from the IEEE RFIC Symposium, Tampa Bay, FL, USA, June 1\u20133 2014.\n\nThe authors thank K. Dasgupta, A. Pai, and B. Hong, all with the California Institute of Technology, for technical discussions, and S. Raman, formerly with the Defense Advanced Research Projects Agency (DARPA), and T. Quach, Air Force Research Laboratory (AFRL) for support.", "abstract": "Electromagnetic duality is used to design a multi-port traveling-wave slot-ring antenna with on-chip driver circuitry to create a fully integrated radiator. By creating a slot version of the multi-port driven antenna, the required exclusive use area of the antenna is significantly decreased, while still being able to perform impedance matching, power combining, and power transfer off chip through electromagnetic radiation in a single step. The driver core consists of an oscillator followed by three amplification stages. A split path inductor design was utilized to reduce the radiator's dependence on process variation in the metal stack while ensuring proper isolation between the four quadrature paths. The slot radiator has a simulated antenna efficiency of 39% and a measured single-element effective isotropic radiated power of 6.0 dBm with a total radiated power of -1.3 dBm at 134.5 GHz.", "date": "2015-04", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "63", "number": "4", "publisher": "IEEE", "pagerange": "1154-1162", "id_number": "CaltechAUTHORS:20150508-085919032", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150508-085919032", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Defense Advanced Research Projects Agency (DARPA)" }, { "agency": "Air Force Research Laboratory (AFRL)" } ] }, "doi": "10.1109/TMTT.2015.2405921", "resource_type": "article", "pub_year": "2015", "author_list": "Bowers, Steven M.; Safaripour, Amirreza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/cagkp-3n078", "eprint_id": 57959, "eprint_status": "archive", "datestamp": "2023-08-20 05:38:39", "lastmod": "2023-10-23 17:56:32", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Aflatouni-F", "name": { "family": "Aflatouni", "given": "Firooz" } } ] }, "title": "Hybrid Electro-Optical Solutions for High-Speed Connectivity", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2015 IEEE.", "abstract": "Integrated optics can provide low-loss broadband optical delay much more readily than electronics. On the other hand, electronic integrated circuits offer unparalleled levels of signal gain and signal processing on a single die. The hybrid electro-optical solutions benefiting from\nbest of both domains can provide significant improvements overs solutions solely in one domain. In this paper, we present two examples of such hybrid electro-optical solutions.", "date": "2015-04", "date_type": "published", "publisher": "IEEE", "id_number": "CaltechAUTHORS:20150603-070801465", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150603-070801465", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/OIC.2015.7115677", "resource_type": "book_section", "pub_year": "2015", "author_list": "Hajimiri, Ali; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/0m1ty-pc574", "eprint_id": 58431, "eprint_status": "archive", "datestamp": "2023-08-20 05:14:49", "lastmod": "2023-10-23 19:20:51", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Zhou-Andy", "name": { "family": "Zhou", "given": "Andy" } }, { "id": "Aflatouni-F", "name": { "family": "Aflatouni", "given": "Firooz" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An Adjustable Self Equalizing Photo Detector", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2015 Optical Society of America. \n\nThe authors would like to thank OpSIS for chip fabrication, Prof. Tom Baehr-Jones, and Prof. Michael Hochberg for their support in chip fabrication.\n\nPublished - 07122017.pdf
", "abstract": "An optically-wideband adjustable self-equalizing photo-detector (ASEPD), capable of reviving eye closure due to limited bandwidth of electro-optical components in an optical link, is presented. The ASEPD enables use of various slower electro-optical components in faster data links.", "date": "2015-03", "date_type": "published", "publisher": "Optical Society of America", "pagerange": "Art. No. W3A.3", "id_number": "CaltechAUTHORS:20150623-081631466", "isbn": "978-1-55752-937-4", "book_title": "Optical Fiber Communication Conference 2015", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150623-081631466", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/OFC.2015.W3A.3", "primary_object": { "basename": "07122017.pdf", "url": "https://authors.library.caltech.edu/records/0m1ty-pc574/files/07122017.pdf" }, "resource_type": "book_section", "pub_year": "2015", "author_list": "Abiri, Behrooz; Zhou, Andy; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/z7wdg-gpw27", "eprint_id": 56335, "eprint_status": "archive", "datestamp": "2023-08-20 05:00:26", "lastmod": "2023-10-23 15:19:38", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aflatouni-F", "name": { "family": "Aflatouni", "given": "Firooz" } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Rekhi-A", "name": { "family": "Rekhi", "given": "Angad" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Nanophotonic coherent imager", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2015 Optical Society of America.\n\nReceived 8 Dec 2014; revised 29 Jan 2015; accepted 30 Jan 2015; published 19 Feb 2015.\n\nWe thank OpSIS foundry for the fabrication of the chips and Profs. Micheal Hochberg and Tom\nBaehr-Jones from University of Delaware for valuable discussions on the fabrication process.\n\nPublished - oe-23-4-5117.pdf
", "abstract": "An integrated silicon nanophotonic coherent imager (NCI), with a 4 \u00d7 4 array of coherent pixels is reported. In the proposed NCI, on-chip optical processing determines the intensity and depth of each point on the imaged object based on the instantaneous phase and amplitude of the optical wave incident on each pixel. The NCI operates based on a modified time-domain frequency modulated continuous wave (FMCW) ranging scheme, where concurrent time-domain measurements of both period and the zero-crossing time of each electrical output of the nanophotonic chip allows the NCI to overcome the traditional resolution limits of frequency domain detection. The detection of both intensity and relative delay enables applications such as high-resolution 3D reflective and transmissive imaging as well as index contrast imaging. We demonstrate 3D imaging with 15\u03bcm depth resolution and 50\u03bcm lateral resolution (limited by the pixel spacing) at up to 0.5-meter range. The reported NCI is also capable of detecting a 1% equivalent refractive index contrast at 1mm thickness.", "date": "2015-02-19", "date_type": "published", "publication": "Optics Express", "volume": "23", "number": "4", "publisher": "Optical Society of America", "pagerange": "5117-5125", "id_number": "CaltechAUTHORS:20150403-092301542", "issn": "1094-4087", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150403-092301542", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/OE.23.005117", "primary_object": { "basename": "oe-23-4-5117.pdf", "url": "https://authors.library.caltech.edu/records/z7wdg-gpw27/files/oe-23-4-5117.pdf" }, "resource_type": "article", "pub_year": "2015", "author_list": "Aflatouni, Firooz; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/zsgwa-rjv65", "eprint_id": 53201, "eprint_status": "archive", "datestamp": "2023-08-20 03:19:21", "lastmod": "2023-10-19 14:38:56", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Arai-Tomoyuki", "name": { "family": "Arai", "given": "Tomoyuki" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A self-correcting quadrature voltage controlled oscillator", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2014 by The Institute of Electronics, Information and Communication Engineers. Released on J-STAGE October 11, 2014. [Advance Publication]. Released September 10, 2014. Received July 17, 2014. Accepted August 06, 2014.\n\nPublished - 11_11.20140684.pdf
", "abstract": "A self-correcting quadrature voltage controlled oscillator (QVCO) with phase correcting loop is proposed. It comprises the QVCO core and phase correcting loop, which corrects the quadrature phase error. Two LC VCOs, buffers, and phase shifters are coupled in circular configuration to achieve IQ symmetry. This paper introduces the idea of realizing QVCO with low phase noise and accurate quadrature phase by using the phase correcting loop. The simulation results based on the 65 nm CMOS process show that the self-correcting QVCO has a phase error less than 0.5\u00b0 and 1 MHz offset phase noise of \u2212120 dBc/Hz at 3.7 GHz with 49% tuning range.", "date": "2014-10-11", "date_type": "published", "publication": "IEICE Electronics Express", "volume": "11", "number": "19", "publisher": "Institute of Electronics, Information and Communication Engineers", "pagerange": "Art. No.", "id_number": "CaltechAUTHORS:20150106-084828698", "issn": "1349-2543", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150106-084828698", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1587/elex.11.20140684", "primary_object": { "basename": "11_11.20140684.pdf", "url": "https://authors.library.caltech.edu/records/zsgwa-rjv65/files/11_11.20140684.pdf" }, "resource_type": "article", "pub_year": "2014", "author_list": "Arai, Tomoyuki and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/520pc-6k916", "eprint_id": 53556, "eprint_status": "archive", "datestamp": "2023-08-22 13:49:36", "lastmod": "2023-10-19 21:51:42", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Aflatouni-F", "name": { "family": "Aflatouni", "given": "Firooz" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A self-equalizing photo detector", "ispublished": "unpub", "full_text_status": "public", "keywords": "feed-forward equalizer; equalization; silicon\nphotonics; active optical cable; photo detector", "note": "\u00a9 2014 IEEE.\n\nThe authors would like to thank OpSIS for chip fabrication,\nProf. Tom Baehr-Jones, and Prof. Michael Hochberg for their\nhelp in chip design verification.\n\nSubmitted - SEPD.pdf
", "abstract": "A self-equalizing photo-detector (SEPD) that mitigates the bandwidth limitations of electro-optical components of optical communication systems is demonstrated, enabling higher rate of data transmission, using slower components. Unlike other all-optical equalization schemes, SEPD is optically wide band, thus does not require wavelength tuning.", "date": "2014-10", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1-2", "id_number": "CaltechAUTHORS:20150112-094201262", "isbn": "978-1-4577-1504-4", "book_title": "2014 IEEE Photonics Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20150112-094201262", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/IPCon.2014.6995315", "primary_object": { "basename": "SEPD.pdf", "url": "https://authors.library.caltech.edu/records/520pc-6k916/files/SEPD.pdf" }, "resource_type": "book_section", "pub_year": "2014", "author_list": "Abiri, Behrooz; Aflatouni, Firooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2xn66-3e849", "eprint_id": 63368, "eprint_status": "archive", "datestamp": "2023-08-20 03:11:39", "lastmod": "2023-10-25 23:45:55", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Mahdavi-Alborz", "name": { "family": "Mahdavi", "given": "Alborz" }, "orcid": "0000-0002-8790-8112" }, { "id": "Park-Jongseok", "name": { "family": "Park", "given": "Jongseok" } }, { "id": "Chi-Taiyun", "name": { "family": "Chi", "given": "Taiyun" } }, { "id": "Butts-J", "name": { "family": "Butts", "given": "Jessica" } }, { "id": "Hookway-T-A", "name": { "family": "Hookway", "given": "Tracy A." } }, { "id": "McDevitt-T", "name": { "family": "McDevitt", "given": "Todd" } }, { "id": "Tirrell-D-A", "name": { "family": "Tirrell", "given": "David A." }, "orcid": "0000-0003-3175-4596" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Cell culture and cell based sensor on CMOS", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "CMOS, Biosensor, Cell Culture, Cell-Based Sensors, Chemical Screening", "note": "\u00a9 2014 IEEE.", "abstract": "This paper focuses on CMOS biosensor technologies for cellular biosensing applications. We first present our technologies to achieve on-CMOS cell culture, maintenance, and differentiation, as the basis for CMOS cellular biosensors. Next, we introduce a CMOS frequency-shift magnetic sensor scheme which performs detections without post-processing or external biasing magnetic field. Finally, we will demonstrate a CMOS magnetic cell based sensor which achieves real-time chemical detections; such a sensor scheme can be utilized for massively paralleled high-throughput chemical screening in drug development.", "date": "2014-10", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "468-471", "id_number": "CaltechAUTHORS:20160105-075920380", "isbn": "978-1-4799-2346-5", "book_title": "BioCAS Proceedings", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20160105-075920380", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/BioCAS.2014.6981764", "resource_type": "book_section", "pub_year": "2014", "author_list": "Wang, Hua; Mahdavi, Alborz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2f95v-1rg12", "eprint_id": 61024, "eprint_status": "archive", "datestamp": "2023-08-20 01:13:52", "lastmod": "2023-10-24 23:19:07", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Pai-Alex", "name": { "family": "Pai", "given": "Alex" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 19.1dBm segmented power-mixer based multi-Gbps mm-Wave transmitter in 32nm SOI CMOS", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "mm-wave, Power Mixer, CMOS Power Amplifiers, Non-constant Envelope Modulation", "note": "\u00a9 2014 IEEE.\n\nThe authors would like to acknowledge Sanjay Raman formerly of DARPA and Tony Quach of AFRL for support.", "abstract": "A high-power, fully-integrated, mm-wave power mixer based transmitter capable of generating highspeed, complex non-constant envelope modulations is implemented in a 32nm SOI CMOS process. Segmented power generation approach is shown to be suitable for direct digital modulation as well as efficiency improvement at back-off power levels. The transmitter has a peak output power of 19.1dBm at 51GHz with a drain efficiency of 14.2% and a peak PAE of 10.1%. Measurements results show high-speed modulations for BPSK, QPSK, ASK, m-ASK and 16-QAM. Reliability of the transmitter has also been verified against worst case segmentation at 30% higher supply voltage.", "date": "2014-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "343-346", "id_number": "CaltechAUTHORS:20151013-081934459", "isbn": "978-1-4799-3862-9", "book_title": "2014 IEEE Radio Frequency Integrated Circuits Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151013-081934459", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/RFIC.2014.6851737", "resource_type": "book_section", "pub_year": "2014", "author_list": "Dasgupta, Kaushik; Sengupta, Kaushik; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7g6wc-zsv55", "eprint_id": 61026, "eprint_status": "archive", "datestamp": "2023-08-20 01:14:06", "lastmod": "2023-10-24 23:19:15", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An integrated traveling-wave slot radiator", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "antenna theory and design, CMOS integrated\ncircuits, integrated radiators, millimeter-wave silicon\nRFICs, on-chip antennas", "note": "\u00a9 2014 IEEE.\n\nThe authors would like to thank Kaushik Dasgupta and Alex Pai for technical discussions, and Sanjay Raman formerly of DARPA and Tony Quach of AFRL for support.", "abstract": "A traveling-wave integrated slot radiator is designed using electromagnetic duality theory based off of the ring portion of a radial multi-port driven radiator to minimize the area required exclusively for the antenna. It is designed in 32 nm SOI CMOS and driven by a buffered quadrature VCO at 4 points to create the traveling wave that radiates out of the backside of the chip. It is measured to have a maximum EIRP of 6.0 dBm at 134.5 GHz with a total radiated power of -1.7 dBm while drawing 168 mW DC power.", "date": "2014-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "369-372", "id_number": "CaltechAUTHORS:20151013-083134976", "isbn": "978-1-4799-3862-9", "book_title": "2014 IEEE Radio Frequency Integrated Circuits Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151013-083134976", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/RFIC.2014.6851744", "resource_type": "book_section", "pub_year": "2014", "author_list": "Bowers, Steven M.; Safaripour, Amirreza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ntjn6-t8275", "eprint_id": 61023, "eprint_status": "archive", "datestamp": "2023-08-20 01:13:44", "lastmod": "2023-10-24 23:19:03", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Safaripour-A", "name": { "family": "Safaripour", "given": "Amirreza" }, "orcid": "0000-0001-9758-6156" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Dynamic Polarization Control of Integrated Radiators", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "antenna theory and design, CMOS integrated circuits, integrated radiators, millimeter-wave silicon RFICs, on-chip antennas, quasi-optical power combining", "note": "\u00a9 2014 IEEE.\n\nThe authors thank Kaushik Dasgupta and Alex Pai for technical discussions, and Sanjay Raman formerly of DARPA and Tony Quach of AFRL for support.", "abstract": "Dynamic Polarization Control (DPC) ensures polarization matching to the receiving antenna regardless of its polarization or orientation in space. A fully integrated 105.5 GHz 2\u00d71 DPC multi-port driven radiator array with beam steering radiates linear polarization across the full polarization angle range of 0\u00b0 to 180\u00b0 maintaining axial ratios above 10 dB, and controls the axial ratio from 2.4 dB (near circular) to 13 dB (linear) in various directions of radiation and a maximum EIRP of 7.8 dBm.", "date": "2014-06", "date_type": "published", "publisher": "IEEE", "id_number": "CaltechAUTHORS:20151012-160140554", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151012-160140554", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/RFIC.2014.6851723", "resource_type": "book_section", "pub_year": "2014", "author_list": "Bowers, Steven M.; Safaripour, Amirreza; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/mr99f-3zk27", "eprint_id": 60772, "eprint_status": "archive", "datestamp": "2023-08-19 23:47:30", "lastmod": "2023-10-24 21:58:36", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Aflatouni-F", "name": { "family": "Aflatouni", "given": "Firooz" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Compact Optically Driven Travelling-Wave Radiating Source", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2014 Optical Society of America.\n\nThe authors would like to thank OPSIS for chip fabrication.\n\nPublished - 06886870.pdf
", "abstract": "A compact silicon-photonics optically driven mm-wave radiator uses a multi-port driven travelling-wave antenna driven by 8 silicon photodiodes with -3dB bandwidth of 25GHz to produce -9.7dBm EIRP at 180GHz.", "date": "2014-03", "date_type": "published", "publisher": "Optical Society of America", "id_number": "CaltechAUTHORS:20151005-144836838", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151005-144836838", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1364/OFC.2014.Tu2A.3", "primary_object": { "basename": "06886870.pdf", "url": "https://authors.library.caltech.edu/records/mr99f-3zk27/files/06886870.pdf" }, "resource_type": "book_section", "pub_year": "2014", "author_list": "Bowers, Steven M.; Abiri, Behrooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/gc4xs-pb229", "eprint_id": 60779, "eprint_status": "archive", "datestamp": "2023-08-19 23:47:34", "lastmod": "2023-10-24 21:59:05", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Abiri-B", "name": { "family": "Abiri", "given": "Behrooz" }, "orcid": "0000-0002-3317-2752" }, { "id": "Aflatouni-F", "name": { "family": "Aflatouni", "given": "Firooz" } }, { "id": "Rekhi-A", "name": { "family": "Rekhi", "given": "Angad" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Electronic Two-Dimensional Beam Steering for Integrated Optical Phased Arrays", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2014 Optical Society of America.\n\nThe authors would like to thank OpSIS for chip fabrication.\n\nPublished - 06886570.pdf
", "abstract": "This paper presents electrical beam steering in an integrated 4x4 2D optical phased\narray (OPA) on a silicon on insulator (SOI) process enabling fast and repeatable beam steering for\nnext generation projection, tracking, and imaging.", "date": "2014-03", "date_type": "published", "publisher": "Optical Society of America", "id_number": "CaltechAUTHORS:20151005-152302561", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20151005-152302561", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "doi": "10.1364/OFC.2014.M2K.7", "primary_object": { "basename": "06886570.pdf", "url": "https://authors.library.caltech.edu/records/gc4xs-pb229/files/06886570.pdf" }, "resource_type": "book_section", "pub_year": "2014", "author_list": "Abiri, Behrooz; Aflatouni, Firooz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/h5kbe-h1m60", "eprint_id": 43568, "eprint_status": "archive", "datestamp": "2023-08-19 22:33:22", "lastmod": "2023-10-25 23:40:20", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Pai-Alex", "name": { "family": "Pai", "given": "Alex" } }, { "id": "Khachaturian-A", "name": { "family": "Khachaturian", "given": "Aroutin" }, "orcid": "0000-0001-8304-3302" }, { "id": "Chapman-S-A", "name": { "family": "Chapman", "given": "Stephen" } }, { "id": "Hu-Alexander", "name": { "family": "Hu", "given": "Alexander" } }, { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A handheld magnetic sensing platform for antigen and nucleic acid detection", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2014 The Royal Society of Chemistry. \n\nThis article is licensed under a Creative Commons Attribution-NonCommercial 3.0 Unported Licence. \n\nReceived 16th October 2013, Accepted 10th December 2013. \nFirst published online 10 Dec 2013. \n\nThis research was supported by the Caltech CI2 and City of Hope grants. The authors would like to acknowledge Stephanie Johnson and Rob Phillips for their help in aiding DNA attachment chemistry; Habib Ahmad, Alex Sutherland and James Heath for guidance and tools in developing surface chemistry; and Derek Rinderknecht and Morteza Gharib for providing high power microscopes.\n\nSupplemental Material - c3an01947k.pdf
", "abstract": "The core requirements for point-of-care (POC) diagnostics necessitate low-cost, portability, easily integrated sample preparation, and quick measurement time. Frequency-shift based magnetic sensing is a measurement technique utilizing a complementary metal-oxide-semiconductor (CMOS) integrated-circuit (IC) chip for magnetic label detection. The sensing scheme leverages the low-cost manufacturing of IC chips while demonstrating the potential for multiplexing capabilities. In this article, we present modifications to this scheme for POC viability. We introduce a handheld reusable reader and a disposable open-well cartridge for the detection of nucleic acids and antigens. The diagnostic system utilizes a novel \"magnetic freezing\" technique to reduce measurement time, obviates baseline measurement before or during biological assay, and reduces sensor noise. We utilize these enhancements for the room temperature, amplification-free detection of a 31 base-pair DNA oligomer and the interferon-\u03b3 (IFN-\u03b3) protein. We have demonstrated reliable measurements down to 100 pM for the DNA assay and 1 pM for the protein.", "date": "2013-12-10", "date_type": "published", "publication": "Analyst", "volume": "139", "number": "6", "publisher": "Royal Society of Chemistry", "pagerange": "1403-1411", "id_number": "CaltechAUTHORS:20140130-085232364", "issn": "0003-2654", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20140130-085232364", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Innovation Initiative (CI2)" }, { "agency": "City of Hope" } ] }, "doi": "10.1039/C3AN01947K", "primary_object": { "basename": "c3an01947k.pdf", "url": "https://authors.library.caltech.edu/records/h5kbe-h1m60/files/c3an01947k.pdf" }, "resource_type": "article", "pub_year": "2013", "author_list": "Pai, Alex; Khachaturian, Aroutin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/kv000-0zt42", "eprint_id": 43922, "eprint_status": "archive", "datestamp": "2023-08-22 11:01:36", "lastmod": "2023-10-26 00:00:49", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Design and Implementation of an Integrated Magnetic Spectrometer for Multiplexed Biosensing", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Chemical and biological sensors; CMOS integrated circuits; magnetic sensors; medical diagnosis; multi-probe; multiplexed detection", "note": "\u00a9 2014 IEEE.\nManuscript received October 19, 2013; revised November 30, 2013; accepted\nDecember 08, 2013. Date of publication January 17, 2014; date of current version\nJanuary 28, 2014. This work was supported in part by a National Science\nFoundation fellowship: DGE-1144469. This paper was recommended by Associate\nEditor S. Gambini.\n\n\nThe authors would like to thank Prof. H. Wang and the\nmembers of the Caltech High-speed Integrated Circuits (CHIC)\ngroup for their helpful discussions. They would also like to\nthank Y. E. Wang for help with sample preparation, Dr. D. Belot\nof ST Microelectronics for technical support, ST Microelectronics\nfor chip fabrication, and the anonymous reviewers for\ntheir constructive feedback.", "abstract": "Magnetic spectroscopy allows for characterization of the magnetic susceptibility of magnetic beads across a broad frequency range. This enables differentiation and quantification of multiple beads of varying types concurrently present in the active volume of a sensor's surface. A magnetic spectrometer can be used for multi-probe tagging and identification akin to multi-color fluorescent bio-sensing. We propose a new sensing methodology to perform magnetic spectroscopy and analyze various important design parameters such as SNR and gain uniformity. We present a proof-of-concept design of a fully integrated CMOS magnetic spectrometer that can detect, quantify, and characterize magnetic materials in the 1.1 GHz to 3.3 GHz frequency range, where we demonstrate magnetic multiplexing capability using a mixture of two different kinds of magnetic beads. The sensor consumes less than 2 mW of DC power within the whole frequency range, requires no external biasing magnetic fields, is implemented in a standard CMOS process, and can be powered and operated completely from a USB interface. The magnetic spectrometer not only increases the throughput and multiplexing of biosensing experiments for a given sensor area, but also can enable additional applications, such as magnetic flow cytometry and signal-collocation assays of multiple probes.", "date": "2013-12", "date_type": "published", "publication": "IEEE Transactions on Biomedical Circuits and Systems", "volume": "7", "number": "6", "publisher": "IEEE", "pagerange": "773-784", "id_number": "CaltechAUTHORS:20140221-094415202", "issn": "1932-4545", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20140221-094415202", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "NSF Graduate Research Fellowship", "grant_number": "DGE-1144469" } ] }, "doi": "10.1109/TBCAS.2013.2297514", "resource_type": "article", "pub_year": "2013", "author_list": "Sideris, Constantine and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/rfrtc-sck96", "eprint_id": 43196, "eprint_status": "archive", "datestamp": "2023-08-22 10:58:08", "lastmod": "2023-10-25 23:21:17", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Multi-Port Driven Radiators", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Antenna theory and design; EM simulation; integrated microwave circuits; integrated radiators; millimeterwave silicon RFICs; on-chip antennas; quasi-optical power combining; SiGe BiCMOS", "note": "\u00a9 2013 IEEE.\n\nManuscript received July 07, 2013; revised October 04, 2013; accepted October\n17, 2013. Date of publication November 06, 2013; date of current version\nDecember 02, 2013. This paper is an expanded paper from the IEEE International\nMicrowave Symposium, Seattle, WA, USA, June 2\u20137,2013.\n\nThe authors would like to thank Prof. K. Sengupta, formerly\nof the California Institute of Technology, Pasadena, CA, USA,\nand Dr. F. Aflatouni of the California Institute of Technology,\nPasadena, CA, USA, for helpful technical discussions and Dr.\nD. Belot of ST Microelectronics, Lyon, France, for chip un", "abstract": "Integrated multi-port driven (MPD) radiator design is presented as an approach that takes advantage of the increased design space offered by using a hybrid design of an antenna with multiple ports and its driver circuitry integrated together on a single substrate. This reduces costly losses by eliminating independent elements for power combination, output impedance matching networks, and power transfer by engineering current patterns on a chip based on the desired far field pattern. The electromagnetic radiation produced by a circularly polarized MPD antenna is calculated analytically to provide design intuition, with supporting electromagnetic simulations. A single element 160 GHz MPD antenna and the supporting driver circuitry is designed and fabricated in a 0.13 \u03bcm SiGe BiCMOS process. A tuned 8 phase ring oscillator generates the signal with each phase feeding class A power amplifiers that drive the antenna. The radiator achieves 4.6 dBm single element effective isotropically radiated power (EIRP) and total radiated power of -2.0 dBm at 161 GHz while consuming 117.5 mA DC current from a 3.3 V source. Measurements of three frequency bands at 145, 154 and 161 GHz show greater than 0 dBm EIRP for each band, demonstrating the wide band nature of the antenna.", "date": "2013-12", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "61", "number": "12", "publisher": "IEEE", "pagerange": "4428-4441", "id_number": "CaltechAUTHORS:20140103-085626421", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20140103-085626421", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "13933786", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/TMTT.2013.2288230", "resource_type": "article", "pub_year": "2013", "author_list": "Bowers, Steven M. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ywxbh-30d43", "eprint_id": 52623, "eprint_status": "archive", "datestamp": "2023-08-19 21:43:39", "lastmod": "2023-10-18 21:02:48", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Pai-A", "name": { "family": "Pai", "given": "A." } }, { "id": "Khachaturian-A", "name": { "family": "Khachaturian", "given": "A." }, "orcid": "0000-0001-8304-3302" }, { "id": "Chapman-S", "name": { "family": "Chapman", "given": "S." } }, { "id": "Hu-A", "name": { "family": "Hu", "given": "A." } }, { "id": "Wang-H", "name": { "family": "Wang", "given": "H." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Handheld Magnetic Sensing Platform for Antigen And Nucleic Acid Detection", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2013 CBMS.\n\nThe authors would like to acknowledge Stephanie Johnson and Rob Phillips for their help in aiding DNA attachment chemistry; Habib Ahmad, Alex Sutherland and James Heath for guidance and tools in developing surface chemistry; Derek Rinderknecht and Morteza Gharib for providing high power microscopes; and members of the Caltech CHIC lab for general guidance. This research was supported by the Caltech CI2 and City of Hope grants.", "abstract": "The core requirements for point-of-care (POC) diagnostics necessitate low-cost, high multiplexing, portability, easily integrated sample preparation, and quick measurement time [1, 2]. Frequency-shift based magnetic sensing is a measurement technique utilizing a complementary metal-oxide-semiconductor (CMOS) integrated-circuit (IC) chip for magnetic label detection. Using this technology, we have developed a complete handheld, low-power, low-cost, disposable cartridge-based diagnostic device (Fig. 1a,b) with two fully implemented assays for antigens and nucleic acids. We have demonstrated reliable measurements down to 100 pM for a 31 base-pair oligomer and 1 pM for the protein interferon-\u03b3 (IFN-\u03b3).", "date": "2013-10", "date_type": "published", "publisher": "Caltech Library", "pagerange": "678-680", "id_number": "CaltechAUTHORS:20141212-101836648", "isbn": "978-0-9798064-6-9", "book_title": "17th International Conference on Miniaturized Systems for Chemistry and Life Sciences", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20141212-101836648", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech CI2 grant" }, { "agency": "City of Hope" } ] }, "resource_type": "book_section", "pub_year": "2013", "author_list": "Pai, A.; Khachaturian, A.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jnf07-0d064", "eprint_id": 39029, "eprint_status": "archive", "datestamp": "2023-08-19 19:41:44", "lastmod": "2023-10-24 14:59:10", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Weng-Ching-Chih", "name": { "family": "Weng", "given": "Ching-Chih" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Phase Noise and Fundamental Sensitivity of Oscillator-Based Reactance Sensors", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Chemical and biological sensors, CMOS integrated\ncircuits, digital filters, frequency measurement, frequency\nstability, magnetic sensors, medical diagnosis, microelectromechanical\nsystems (MEMS) sensors, noise cancellation, noise\nfiltering, oscillator phase noise.", "note": "\u00a9 2013 IEEE. Manuscript received October 15, 2012; revised March 12, 2013; accepted March 14, 2013. Date of publication April 17, 2013; date of current version May 02, 2013. This work was supported by the California Institute of Technology under a Caltech Innovation Initiative (CI2) Research Grant. The authors would like to thank C. Sideris, California Institute of Technology, Pasadena, CA, USA, for his help on developing the CMOS magnetic sensor. The authors also would like to acknowledge Prof. P. P. Vaidyanathan, California Institute of Technology, Dr. S. Kousai, Toshiba, and the members of the Caltech High-Speed Integrated Circuit group (CHIC) for technical discussions.", "abstract": "This paper investigates the fundamental sensitivity of oscillator-based reactance sensors, which are widely used in numerous types of biomedical sensing applications. We first show that the intrinsic sensitivity is limited by the 1/\u0192^3 phase noise of the sensing oscillators. To achieve sensor detection sensitivity below this limit, a correlated double counting (CDC) noise suppression scheme is proposed to cancel the correlated 1/\u0192^3 phase noise in differential frequency detections. The suppression effect of the CDC scheme is thoroughly modeled. Moreover, the CDC scheme is extended to a high-order configuration, called the Interleaving-N CDC, to further improve the frequency resolution. In addition, we show that the weighting sequence on the Interleaving-N CDC data can be optimized as a digital noise filter to maximize the noise suppression. Given a sensing oscillator with any phase-noise profile, a general weighting optimization method is proposed based on the minimum variance distortion less response. As an example, an oscillator-based inductive magnetic sensor array in a 45-nm CMOS silicon-on-insulator process is implemented with the proposed CDC scheme. It achieves a noise suppression of 10.4 dB with basic CDC sheme and a frequency resolution of 0.128 parts per million for Interleaving-N CDC scheme, both with negligible power overhead. This enables inductance-change detection sensitivity of 0.41 fH for a low-Q on-chip 1.6-nH inductor with a quality factor of only 4.95.", "date": "2013-05", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "61", "number": "5", "publisher": "IEEE", "pagerange": "2215-2229", "id_number": "CaltechAUTHORS:20130621-130626802", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20130621-130626802", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Innovation Initiative (CI2) Research Grant" } ] }, "other_numbering_system": { "items": [ { "id": "13484342", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/TMTT.2013.2256142", "resource_type": "article", "pub_year": "2013", "author_list": "Wang, Hua; Weng, Ching-Chih; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/evmya-cxy76", "eprint_id": 38105, "eprint_status": "archive", "datestamp": "2023-08-19 14:51:30", "lastmod": "2023-10-23 19:49:13", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Parker-B-D", "name": { "family": "Parker", "given": "Benjamin D." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Integrated Self-Healing for mm-Wave Power Amplifiers", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Actuators, CMOS integrated circuits (ICs), digital control, power amplifiers (PAs), power generation, sensors, thermal sensors.", "note": "\u00a9 2013 IEEE. Manuscript received October 23, 2012; revised January 11, 2013; accepted January 15, 2013. Date of publication February 13, 2013; date of current version\nMarch 07, 2013. This work was supported by the Air Force Research Laboratory. This paper is an expanded paper from the IEEE RFIC Symposium, Montreal, QC, Canada, June 17\u201319, 2012. The authors would like to thank Prof. A. Babakhani, Rice University, Houston, TX, USA (formerly with the California Institute of Technology) and A. Chang, Massachusetts Institute of Technology (MIT), Cambridge, MA, USA (formerly with the California Institute of Technology), for their valuable technical discussions and contributions, as well as Dr. S. Raman, Defense Advanced Research Projects Agency (DARPA), Arlington, VA, USA, T. Quach, Air Force Research Laboratory (AFRL), Dayton, OH, USA, and C. Maxey, Booz Allen Hamilton (BAH), McLean, VA, USA, for support.\nThe views expressed are those of the authors and do not reflect the official policy of the Department of Defense (DoD) or the U.S. Government.", "abstract": "Self-healing as a technique for improving performance and yield of millimeter-wave power amplifiers (PAs) against process variation and transistor mismatch, load impedance mismatch, and partial and total transistor failure is described and investigated. A 28-GHz PA is presented with three types of sensors, two types of actuators, data converters, and a digital algorithm block that are all integrated on a single chip to show the validity of the technique. Two algorithms are implemented to either maximize output power or to minimize dc power for a desired output power. Measurements from 20 chips show increased RF output power up to 3 dB or reduced dc power by 50% in backoff with a 50-\u03a9 load. Self-healing with up to 4-1 voltage standing-wave ratio load impedance mismatch is verified and linear operation under nonconstant envelope modulation is shown to improve with healing. Self-healing after laser cutter induced transistor failure is verified and increases RF output power by up to 5.4 dB. The aggregate yield of the PA across several representative specifications is increased from 0% to 80% with self-healing.", "date": "2013-03", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "61", "number": "3", "publisher": "IEEE", "pagerange": "1301-1315", "id_number": "CaltechAUTHORS:20130424-154627947", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20130424-154627947", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Research Laboratory (AFRL)" }, { "agency": "Defense Advanced Research Projects Agency (DARPA)" } ] }, "other_numbering_system": { "items": [ { "id": "13355112", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/TMTT.2013.2243750", "resource_type": "article", "pub_year": "2013", "author_list": "Bowers, Steven M.; Sengupta, Kaushik; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/019p2-5s532", "eprint_id": 73727, "eprint_status": "archive", "datestamp": "2023-08-19 14:36:04", "lastmod": "2023-10-24 16:23:50", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An integrated magnetic spectrometer for multiplexed biosensing", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2013 IEEE. \n\nThe authors thank the members of Caltech CHIC and MICS group for technical discussions, Yun E. Wang for assistance in sample preparation, and ST Microelectronics for chip fabrication. This material is based upon work supported by the National Science Foundation Graduate Research Fellowship under Grant No. DGE-1144469.", "abstract": "There is high demand for at-home and point-of-care medical diagnostic tools as a step toward fast, low-cost, personal medicine. Integrated biosensors based on magnetic labeling schemes offer higher sensitivity and lower cost due to the elimination of the optics and have emerged as a viable alternative to assays that use fluorescence for biomolecular detection. For instance, the frequency-shift sensor of [1] demonstrates a high-sensitivity example of a cost-effective magnetic particle biosensor in CMOS with no need for external magnets. Despite their cost and sensitivity advantages, magnetic biosensors reported so far suffer from a lack of multi-probe diagnostics similar to fluorescent-based approaches that use multiple colors for simultaneous single-site multiple target differentiation. This is primarily because current approaches measure changes in the magnetic susceptibility, \u03c7, either at low frequencies [2,3] or at a fixed RF frequency [1]. Consequently, these approaches do not provide a clear path for differentiating between a large number of small magnetic particles vs. a smaller number of larger size particles with similar magnetic content.", "date": "2013-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "300-301", "id_number": "CaltechAUTHORS:20170125-142101153", "isbn": "978-1-4673-4516-3", "book_title": "2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170125-142101153", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "ST Microelectronics" }, { "agency": "NSF Graduate Research Fellowship", "grant_number": "DGE-1144469" } ] }, "doi": "10.1109/ISSCC.2013.6487744", "resource_type": "book_section", "pub_year": "2013", "author_list": "Sideris, Constantine and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/3wvx1-2qx29", "eprint_id": 37029, "eprint_status": "archive", "datestamp": "2023-08-19 13:39:59", "lastmod": "2023-10-23 16:03:52", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 0.28 THz Power-Generation and Beam-Steering Array in CMOS Based on Distributed Active Radiators", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Antenna, beam-scanning, CMOS, filter, frequency\nmultiplier, millimeter wave power amplifier, on chip\nantenna, phased array, push-push, radiation, submillimeter wave,\nsurface wave, Terahertz, traveling wave", "note": "\u00a9 2012 IEEE. Manuscript received April 24, 2012; revised July 10, 2012; accepted August 03, 2012. Date of publication December 10, 2012; date of current version December 21, 2012. This paper was approved by Associate Editor Pietro Andreani. The authors would like to acknowledge IBM for chip fabrication, and especially thank Prof. J. Zmuidzinas, Prof. G. Blake, Prof. D. B. Rutledge,Dr. P. Siegel, and Dr. S. Weinreb for technical discussions and help in instrumentation. The authors thank Ansoft and Mentor Graphics IE3D for software support, and all members of our research group, especially Arthur Chang and Kaushik Dasgupta, for help during tapeout.", "abstract": "In this paper, we present a scalable transmitter architecture for power generation and beam-steering at THz frequencies using a centralized frequency reference, sub-harmonic signal distribution, and local phase control. The power generation and radiator core is based on a novel method called distributed active radiation, which enables high conversion efficiency from DC to radiated terahertz power above f_(max) of a technology. The design evolution of the distributed active radiator (DAR) follows from an inverse design approach, where metal surface currents at different harmonics are formulated in the silicon chip for the desired electromagnetic field profiles. Circuits and passives are then designed conjointly to synthesize and control the surface currents. The DAR consists of a self-oscillating active electromagnetic structure, comprising of two loops which sustain out-of-phase currents at the fundamental frequency and in-phase currents at the second harmonic. The fundamental signal, thus gets, spatially filtered, while the second harmonic is radiated selectively, thereby consolidating signal generation, frequency multiplication, radiation of desired harmonic and filtration of undesired harmonics simultaneously in a small silicon footprint. A two-dimensional 4\u00d74 radiating array implemented in 45 nm SOI CMOS (without high-resistivity substrate) radiates with an EIRP of +9.4 dBm at 0.28 THz and beam-steers in 2D over 80\u00b0 in both azimuth and elevation. The chip occupies 2.7 mm \u00d7 2.7 mm and dissipates 820 mW of DC power. To the best of the authors' knowledge, this is the first reported integrated beam-scanning array at THz frequencies in silicon.", "date": "2012-12", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "47", "number": "12", "publisher": "IEEE", "pagerange": "3013-3031", "id_number": "CaltechAUTHORS:20130220-142334283", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20130220-142334283", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "13188536", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/JSSC.2012.2217831", "resource_type": "article", "pub_year": "2012", "author_list": "Sengupta, Kaushik and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/sv6n4-mhc06", "eprint_id": 74138, "eprint_status": "archive", "datestamp": "2023-08-19 13:44:11", "lastmod": "2023-10-24 22:08:17", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Arai-Tomoyuki", "name": { "family": "Arai", "given": "Tomoyuki" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 7GHz wideband self-correcting quadrature VCO", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2012 IEEE.", "abstract": "A 4.0 to 6.6GHz self-correcting quadrature voltage controlled oscillator (QVCO) with phase compensation loop is implemented in a 65nm CMOS process. The topology couples IQ oscillation signals of two LC-VCOs, a phase shifter, and buffers with circular configuration. This paper introduces the idea to obtain low phase noise and accurate IQ phase quadrature oscillation signal, by employing phase compensation loop to correct the IQ phase error. The self-correcting QVCO achieves the IQ phase error less than a degree, and 1MHz offset phase noise -107dBc/Hz at 6.9GHz.", "date": "2012-12", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "61-64", "id_number": "CaltechAUTHORS:20170207-173346762", "isbn": "978-1-4673-1260-8", "book_title": "19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170207-173346762", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ICECS.2012.6463798", "resource_type": "book_section", "pub_year": "2012", "author_list": "Arai, Tomoyuki and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/1vqc6-8rn55", "eprint_id": 34214, "eprint_status": "archive", "datestamp": "2023-09-14 19:32:09", "lastmod": "2023-10-23 20:52:40", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Mahdavi-Alborz", "name": { "family": "Mahdavi", "given": "Alborz" }, "orcid": "0000-0002-8790-8112" }, { "id": "Tirrell-D-A", "name": { "family": "Tirrell", "given": "David A." }, "orcid": "0000-0003-3175-4596" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A magnetic cell-based sensor", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2012 Royal Society of Chemistry.\n\nReceived 23 Apr 2012, Accepted 13 Aug 2012.\nFirst published on the web 14 Aug 2012.\nH. W. was supported by a California Institute of Technology\nInnovation Initiative (CI2) Research Grant. A.M. was supported by a National Science and Engineering Research Council of Canada (NSERC) Scholarship, a post-graduate scholarship by Caltech Donna and Benjamin M. Rosen Center for Bioengineering and the NSF Center for the Science and\nEngineering of Materials at Caltech (NSF DMR 0520565). The\nauthors would like to acknowledge Dr Shouhei Kousai for his\nsupport on the CMOS magnetic sensor chip development; Constantine Sideris for his help on developing the FPGA\nVerilog programs; Alex Pai for his support on building the\nsensor modules; and United Microelectronics Corporation\n(UMC) for providing CMOS sensor chip foundry service.\n\nPublished - c2lc40392g.pdf
Supplemental Material - c2lc40392g_supp.pdf
", "abstract": "Cell-based sensing represents a new paradigm for performing direct and accurate detection of cell- or tissue-specific responses by incorporating living cells or tissues as an integral part of a sensor. Here we report a new magnetic cell-based sensing platform by combining magnetic sensors implemented in the complementary metal-oxide-semiconductor (CMOS) integrated microelectronics process with cardiac progenitor cells that are differentiated directly on-chip. We show that the pulsatile movements of on-chip cardiac progenitor cells can be monitored in a real-time manner. Our work provides a new low-cost approach to enable high-throughput screening systems as used in drug development and hand-held devices for point-of-care (PoC) biomedical diagnostic applications.", "date": "2012-08-14", "date_type": "published", "publication": "Lab on a Chip", "volume": "12", "number": "21", "publisher": "Royal Society of Chemistry", "pagerange": "4465-4471", "id_number": "CaltechAUTHORS:20120919-115826812", "issn": "1473-0197", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20120919-115826812", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Innovation Initiative (CI2)" }, { "agency": "Natural Sciences and Engineering Research Council of Canada (NSERC)" }, { "agency": "Caltech Donna and Benjamin M. Rosen Center for Bioengineering" }, { "agency": "NSF", "grant_number": "DMR-0520565" } ] }, "doi": "10.1039/C2LC40392G", "primary_object": { "basename": "c2lc40392g.pdf", "url": "https://authors.library.caltech.edu/records/1vqc6-8rn55/files/c2lc40392g.pdf" }, "related_objects": [ { "basename": "c2lc40392g_supp.pdf", "url": "https://authors.library.caltech.edu/records/1vqc6-8rn55/files/c2lc40392g_supp.pdf" } ], "resource_type": "article", "pub_year": "2012", "author_list": "Wang, Hua; Mahdavi, Alborz; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/5jy45-22574", "eprint_id": 74123, "eprint_status": "archive", "datestamp": "2023-08-19 11:13:42", "lastmod": "2023-10-24 22:07:16", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A fully-integrated self-healing power amplifier", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2012 IEEE. \n\nThis work was supported by the Air Force Research Laboratory. The views expressed are those of the authors and do not reflect the official policy of the Department of Defense or the U.S. Government.", "abstract": "A fully-integrated self-healing mm-wave power amplifier heals process variation, load mismatch, and transistor failure with on-chip sensors, actuators and an integrated digital algorithm ASIC without external calibration. Measurements of 20 chips showed increased RF power up to 3dB, or reduced DC power by 50% in backoff at 28 GHz. Healing 4-1 VSWR load mismatch for RF and DC power improvement was verified, and healing after laser induced transistor failure increased RF power up to 4.8dB.", "date": "2012-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "221-224", "id_number": "CaltechAUTHORS:20170206-175324890", "isbn": "978-1-4673-0416-0", "book_title": "2012 IEEE Radio Frequency Integrated Circuits Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170206-175324890", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Research Laboratory (AFRL)" } ] }, "doi": "10.1109/RFIC.2012.6242268", "resource_type": "book_section", "pub_year": "2012", "author_list": "Bowers, Steven M.; Sengupta, Kaushik; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/rx5pb-gyx60", "eprint_id": 32979, "eprint_status": "archive", "datestamp": "2023-08-19 11:08:16", "lastmod": "2023-10-18 17:58:54", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Bowers-S-M", "name": { "family": "Bowers", "given": "Steven M." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "On-chip sensing and actuation methods for integrated self-healing mm-wave CMOS power amplifier", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "self-healing, sensors, actuation, PA, CMOS", "note": "\u00a9 2012 IEEE.\nDate of Current Version: 06 August 2012.", "abstract": "This paper presents various low power, compact, low insertion-loss sensors with digitized ADC output and digitally controlled actuation methods for on-chip characterization and healing of a mm-Wave power amplifier. We demonstrate low insertion loss (0.4dB) RF sensors which measure true input and output power in presence of load variations and very low-headroom (10\u201330mV) DC sensors with built-in regulators and thermal sensors as methods for measuring PA efficiency. All sensor outputs are digitized by a SAR-based ADC for communication with a central digital core. The paper also presents digitally controlled combiner tuning and PA bias actuation. The circuits are implemented in 45 nm SOI CMOS and enable full on-chip digitally controlled characterization and actuation of the PA with a power overhead of less than 6%.", "date": "2012-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1-3", "id_number": "CaltechAUTHORS:20120807-104449736", "isbn": "978-1-4673-1088-8", "book_title": "2012 IEEE MTT-S International Microwave Symposium Digest (MTT)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20120807-104449736", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/MWSYM.2012.6259781", "resource_type": "book_section", "pub_year": "2012", "author_list": "Sengupta, Kaushik; Dasgupta, Kaushik; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/m9wqy-12k54", "eprint_id": 88935, "eprint_status": "archive", "datestamp": "2023-08-19 09:59:28", "lastmod": "2023-10-18 22:24:28", "type": "monograph", "metadata_visibility": "show", "creators": { "items": [ { "id": "Davoodi-F", "name": { "family": "Davoodi", "given": "Faranak" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Murphy-N", "name": { "family": "Murphy", "given": "Neil" } }, { "id": "Nikzad-S", "name": { "family": "Nikzad", "given": "Shouleh" } }, { "id": "Nesnas-I-A-D", "name": { "family": "Nesnas", "given": "Issa" } }, { "id": "Mischna-M-A", "name": { "family": "Mischna", "given": "Michael" }, "orcid": "0000-0002-8022-5319" }, { "id": "Nesmith-B", "name": { "family": "Nesmith", "given": "Bill" } } ] }, "title": "Gone with the Wind ON_Mars (GOWON): A Wind-Driven Networked System of Mobile Sensors on Mars", "ispublished": "unpub", "full_text_status": "public", "note": "Submitted - 1202.3847.pdf
", "abstract": "We propose a revolutionary way of studying the sur-face of Mars using a wind-driven network of mobile sensors- Gone with the Wind ON_Mars (GOWON). GOWON is envisioned to be a scalable, 100% self energy-generating and distributed system that allows in-situ mapping of a wide range of phenomena in a much larger portion of the surface of Mars compared to earlier missions. It could radically improve the possibility of finding rare phenomena like bio signatures through random wind-driven search. It could explore difficult terrains that were beyond the reach of previous missions, such as regions with very steep slopes, cluttered surfaces and/or sand dunes; GOWON is envisioned as an on going mission with a long life span. It could achieve any of NASA's scientific objectives on Mars in a cost-effective way, leaving a long lasting sensing and searching infrastructure on Mars. GOWON is a 2012 Step B invitee for NASA Innovative Advanced Concept (NIAC). It addresses the challenge area of the Mars Surface System Capabilities area. We believe the challenge to be near-term, i.e., 2018-2024.", "date": "2012-02-17", "date_type": "published", "publisher": "arXiv", "id_number": "CaltechAUTHORS:20180817-160126772", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20180817-160126772", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.48550/arXiv.1202.3847", "primary_object": { "basename": "1202.3847.pdf", "url": "https://authors.library.caltech.edu/records/m9wqy-12k54/files/1202.3847.pdf" }, "resource_type": "monograph", "pub_year": "2012", "author_list": "Davoodi, Faranak; Hajimiri, Ali; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ctwvk-z3z15", "eprint_id": 73970, "eprint_status": "archive", "datestamp": "2023-08-19 09:50:21", "lastmod": "2023-10-24 21:57:56", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 0.28THz 4x4 power-generation and beam-steering array", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2012 IEEE. \n\nThe authors acknowledge J. Zmuidzinas, G. Blake, P. Siegel, S. Weinreb and all members of our research group for their assistance, IBM for fabrication and Ansoft and Mentor Graphics IE3D for software support.", "abstract": "Up until recently, the terahertz frequency range (0.3 to 3THz) has been mostly addressed by high-mobility custom III-V processes, bulky and expensive nonlinear optics, or cryogenically cooled quantum cascade lasers. A low-cost room temperature alternative will enable a wide range of applications in security, defense, ultra-high-speed wireless communication, sensors, and biomedical imaging not currently accessible due to cost and size limitations. CMOS can potentially provide such a low-cost platform, but it requires novel techniques and architectures to generate, manipulate, radiate, and detect signals above transistor f_(max), which are in the sub-THz frequency region in most of today's nodes.", "date": "2012-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "256-258", "id_number": "CaltechAUTHORS:20170201-164845758", "isbn": "978-1-4673-0377-4", "book_title": "2012 IEEE International Solid-State Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170201-164845758", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "IBM" }, { "agency": "Ansoft" }, { "agency": "Mentor Graphics IE3D" } ] }, "doi": "10.1109/ISSCC.2012.6176999", "resource_type": "book_section", "pub_year": "2012", "author_list": "Sengupta, Kaushik and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/et3qz-hv320", "eprint_id": 74183, "eprint_status": "archive", "datestamp": "2023-08-19 09:50:28", "lastmod": "2023-10-24 22:11:19", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Tanaka-Yusuke", "name": { "family": "Tanaka", "given": "Yusuke" } }, { "id": "Hino-Yasufumi", "name": { "family": "Hino", "given": "Yasufumi" } }, { "id": "Okada-Yasuhiro", "name": { "family": "Okada", "given": "Yasuhiro" } }, { "id": "Takeda-Takahiro", "name": { "family": "Takeda", "given": "Takahiro" } }, { "id": "Ohashi-Sho", "name": { "family": "Ohashi", "given": "Sho" } }, { "id": "Yamagishi-Hiroyuki", "name": { "family": "Yamagishi", "given": "Hiroyuki" } }, { "id": "Kawasaki-Kenichi", "name": { "family": "Kawasaki", "given": "Kenichi" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A versatile multi-modality serial link", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2012 IEEE.", "abstract": "Serial data links are often designed targeting a specific transmission medium. High-speed links using different predetermined transmission media have been demonstrated in the past [1-3]. This, however, restricts user's ability to use an integrated link interface with other transmission media once the chip is fabricated. For example, traditional transceivers for copper interconnects typically transmit baseband data, which is incompatible with a free-space wireless channel that is bandpass in nature and often uses RF carriers. A multi-modality transceiver block compatible with different transmission media is highly desirable as it offers great versatility by allowing the exact same interface circuitry to be used with different transmission media. Such a versatile interface can relax the board and system design requirements and enable the reuse of the same transceiver core with different media, reducing the time and cost overhead of re-designing and re-manufacturing.", "date": "2012-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "332-334", "id_number": "CaltechAUTHORS:20170208-173325826", "isbn": "978-1-4673-0377-4", "book_title": "2012 IEEE International Solid-State Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170208-173325826", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2012.6177034", "resource_type": "book_section", "pub_year": "2012", "author_list": "Tanaka, Yusuke; Hino, Yasufumi; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/h76cn-k2p09", "eprint_id": 31845, "eprint_status": "archive", "datestamp": "2023-08-22 04:39:14", "lastmod": "2023-10-17 21:38:22", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Keehr-E-A", "name": { "family": "Keehr", "given": "Edward A." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Wide-Swing Low-Noise Transconductance Amplifier and the Enabling of Large-Signal Handling Direct-Conversion Receivers", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Common-gate; low-noise amplier (LNA); low-noise transconductance amplifier (LNTA); RF receiver; wide-swing", "note": "\u00a9 2012 IEEE.\n\nManuscript received September 06, 2010; revised January 15, 2011; accepted June 16, 2011. Date of publication August 12, 2011; date of current version January 11, 2012.\n\n\nThe authors would like to thank an anonymous reviewer for\npointing out the effect of feedback of the input device r_o on the CG LNTA NF.", "abstract": "In this paper, the design of a wide-swing low-noise transconductance amplifier (LNTA) is presented in the context of passive mixer-based direct-conversion RF receivers, noting that the compression performance of such systems is limited by the initial voltage-to-current conversion. The proposed LNTA utilizes a stacked PMOS/NMOS common-gate configuration with its input common-mode voltage maintained by a class-AB operational transconductance amplifier (OTA). Linearization mechanisms and design procedures are explained both quantitatively and intuitively. Simulations of the LNTA at the typical corner, when ideally loaded, show an IIP3 + 32.8 dBm extrapolated at +12.5 dBm/-16.5 dBm CW blocking condition and an out-of-band 1-dB desensitization point of +22 dBm. These results are also shown to qualitatively agree with those extracted from an analytical model of the LNTA.", "date": "2012-01", "date_type": "published", "publication": "IEEE Transactions on Circuits and Systems", "volume": "59", "number": "1", "publisher": "IEEE", "pagerange": "30-43", "id_number": "CaltechAUTHORS:20120608-092557453", "issn": "1549-8328", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20120608-092557453", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "12444119", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/TCSI.2011.2161367", "resource_type": "article", "pub_year": "2012", "author_list": "Keehr, Edward A. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/aw9ha-tbk88", "eprint_id": 28649, "eprint_status": "archive", "datestamp": "2023-08-22 04:13:44", "lastmod": "2023-10-24 18:03:06", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fukuda-S", "name": { "family": "Fukuda", "given": "Satoshi" } }, { "id": "Hino-Y", "name": { "family": "Hino", "given": "Yasufumi" } }, { "id": "Ohashi-S", "name": { "family": "Ohashi", "given": "Sho" } }, { "id": "Takeda-T", "name": { "family": "Takeda", "given": "Takahiro" } }, { "id": "Yamagishi-H", "name": { "family": "Yamagishi", "given": "Hiroyuki" } }, { "id": "Shinke-S", "name": { "family": "Shinke", "given": "Satoru" } }, { "id": "Komori-K", "name": { "family": "Komori", "given": "Kenji" } }, { "id": "Uno-M", "name": { "family": "Uno", "given": "Masahiro" } }, { "id": "Akiyama-Y", "name": { "family": "Akiyama", "given": "Yoshiyuki" } }, { "id": "Kawasaki-K", "name": { "family": "Kawasaki", "given": "Kenichi" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 12.5+12.5 Gb/s Full-Duplex Plastic Waveguide Interconnect", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Bi-directional transmission; CMOS; coherent transmission; 40 nm; injection lock; millimeter wave; plastic waveguide", "note": "\u00a9 2011 IEEE.\n\nManuscript received April 12, 2011; revised July 01, 2011; accepted August 18, 2011. Date of publication October 27, 2011; date of current version November 23, 2011.\n\nThis paper was approved by Guest Editor Miki Moyal.", "abstract": "A new interconnect solution with plastic waveguide is demonstrated. The system consists of a pair of transceivers and a plastic waveguide. Millimeter wave signal is transmitted in a low-cost long piece of solid plastic dielectric acting as a waveguide. The plastic waveguide medium offers a large bandwidth for data communication using mm-wave carrier frequencies. Plastic waveguide interconnects do not require costly electrical-to-optical and optical-to-electrical conversion devices or precise alignment and offer longer transmission distances than wireless solutions due to better field confinement and lower path loss. Multiple plastic waveguides can be used in parallel and the modulated data at different frequencies can be multiplexed to increase the data rate. The demonstrated transceiver chips operate at carrier frequencies of 57 GHz and 80 GHz, and are fabricated in 40 nm low-power logic CMOS. The total area and power consumption of two transceivers are 0.41 mm^2 and 140 mW, respectively. The fabricated demonstrator with Yagi-couplers achieves full-duplex transmission of 12.5 Gb/s ASK modulated signal in each direction over the 120 mm polystyrene waveguide with no equalization. The observed bit error rates for both channels are less than 10^(-12) for a PRBS length of 2^(7)-1 at the total data rate of 25 Gb/s. This paper shows the feasibility of the plastic waveguide interconnect as a promising alternative to electrical, optical, and wireless interconnects.", "date": "2011-12", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "46", "number": "12", "publisher": "IEEE", "pagerange": "3113-3125", "id_number": "CaltechAUTHORS:20120104-113526830", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20120104-113526830", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "12388399", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/JSSC.2011.2168870", "resource_type": "article", "pub_year": "2011", "author_list": "Fukuda, Satoshi; Hino, Yasufumi; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/k80q0-zgr67", "eprint_id": 74669, "eprint_status": "archive", "datestamp": "2023-08-19 08:17:23", "lastmod": "2023-10-24 22:50:39", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Seo-Dongjin", "name": { "family": "Seo", "given": "Dongjin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A terahertz imaging receiver in \u00b5m SiGe BiCMOS technology", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2011 IEEE.", "abstract": "This paper presents an integrated THz imaging receiver in bulk 0.13\u03bcm SiGe technology. The receiver, based on direct power detection, achieves a peak responsivity of 2.6MV/W and 700kV/W and a NEP of 8.7pW/\u221aHz and 32.4 pW/\u221aHz at 0.25 THz and 0.3 THz, respectively. No external silicon lens or post-processing, such as substrate thinning, was employed for improving antenna gain, efficiency and reducing power loss in substrate modes. To the best of the authors' knowledge, this is the lowest reported NEP in silicon at THz frequencies, without the use of expensive post-processing or external silicon lens.", "date": "2011-10", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "id_number": "CaltechAUTHORS:20170302-130129685", "isbn": "978-1-4577-0509-0", "book_title": "2011 International Conference on Infrared, Millimeter, and Terahertz Waves", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170302-130129685", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/irmmw-THz.2011.6105192", "resource_type": "book_section", "pub_year": "2011", "author_list": "Sengupta, Kaushik; Seo, Dongjin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/f7yn2-rkv31", "eprint_id": 74203, "eprint_status": "archive", "datestamp": "2023-08-19 07:56:34", "lastmod": "2023-10-24 22:12:50", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Timing inaccuracy of clocks", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2011 IEEE.", "abstract": "Since the beginning of time, timing accuracy has been strived for by clock designers. Although everyone has an intuitive sense of what clock accuracy and stability means, there is often an implicit comparison to some sort of assumed reference. In this talk, we will discuss the general notion of oscillator instability and evaluate the oscillator short term instability using a time-variant model which explains the evolution of the physical noise into phase noise in an oscillator. We will examine some of the design implications of such the noise evolution in the oscillator design via some practical examples.", "date": "2011-09", "date_type": "published", "id_number": "CaltechAUTHORS:20170209-164558334", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170209-164558334", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/CICC.2011.6055299", "resource_type": "book_section", "pub_year": "2011", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/k7e5t-mfa18", "eprint_id": 74500, "eprint_status": "archive", "datestamp": "2023-08-19 07:00:26", "lastmod": "2023-10-24 22:42:18", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A broadband self-healing phase synthesis scheme", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "CMOS integrated circuits, phased-array, phase self-healing, phase interpolation, broadband circuits, beam-forming", "note": "\u00a9 2011 IEEE. \n\nThe authors would like to thank the members of Caltech High-speed Integrated Circuit (CHIC) Group and Professor Yujiu Wang from NJTU for their helpful technical discussions. This work was supported by the Air Force Research Laboratory.", "abstract": "This paper presents a full-range broadband phase synthesis scheme with autonomous phase correction functionality. The on-chip phase measurement is achieved by a set of on-chip LO self-/inter-mixing testing sequences, which eliminates the need for auxiliary test tones. As a design example, a 2-to-6GHz quadrature phase synthesis system in a 65nm CMOS is demonstrated. The phase self-healing scheme achieves an RMS phase error of less than 0.6\u00b0 and a full 360\u00b0 interpolation within the entire band.", "date": "2011-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "id_number": "CaltechAUTHORS:20170223-130447610", "isbn": "978-1-4244-8292-4", "book_title": "2011 IEEE Radio Frequency Integrated Circuits Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170223-130447610", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Research Laboratory (AFRL)" } ] }, "doi": "10.1109/RFIC.2011.5940673", "resource_type": "book_section", "pub_year": "2011", "author_list": "Wang, Hua; Dasgupta, Kaushik; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/fdw25-psz53", "eprint_id": 74499, "eprint_status": "archive", "datestamp": "2023-08-19 07:00:19", "lastmod": "2023-10-24 22:42:14", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Dasgupta-K", "name": { "family": "Dasgupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Closed-loop spurious tone reduction for self-healing frequency synthesizers", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "phase locked loop, frequency synthesizer, spurious tones, VCO reference spur, spur reduction \ntechniques, spurious tone suppression, sampled loop filter", "note": "\u00a9 2011 IEEE. \n\nThis work was supported by the Air Force Research Laboratory. The authors would like to thank Sam Reynolds and Zach Sparling of MOSIS for their support.", "abstract": "On-chip spurious tone detection and correction in an 8-12 GHz CMOS synthesizer is used to automatically reduce spurious output tones at different offset frequencies by up to 20dB. Using synchronous detection, sensitivity is limited by detection time only. The presented methods are generally applicable to frequency synthesizers and phased-locked loops in various applications.", "date": "2011-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "id_number": "CaltechAUTHORS:20170223-125809671", "isbn": "978-1-4244-8292-4", "book_title": "2011 IEEE Radio Frequency Integrated Circuits Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170223-125809671", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Air Force Research Laboratory (AFRL)" } ] }, "doi": "10.1109/RFIC.2011.5940704", "resource_type": "book_section", "pub_year": "2011", "author_list": "Bohn, Florian; Dasgupta, Kaushik; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/cz7a3-9q864", "eprint_id": 74480, "eprint_status": "archive", "datestamp": "2023-08-19 07:00:11", "lastmod": "2023-10-24 22:41:09", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Seo-Dongjin", "name": { "family": "Seo", "given": "Dongjin" } }, { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Distributed Active Radiator arrays for efficient doubling, filtering, and beam-forming", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "distributed active radiation, doubling, antenna, on-chip radiation, mutual locking, phased array, beam steering", "note": "\u00a9 2011 IEEE.", "abstract": "Distributed Active Radiator (DAR) arrays are demonstrated as novel ways of harmonic generation, radiation, and filtration to generate power at frequencies above the cut-off frequency of a technology. As proofs-of-concept, 2\u00d71 and 2\u00d7 2 arrays of DAR with beam-forming are implemented on PCB, which are designed to oscillate at the fundamental frequency of 1.25GHz, while radiating (circularly-polarized) at the doubling frequency of 2.5GHz. The measured EIRP of 2\u00d7 1 and 2\u00d7 2 arrays are 7.46dBm and 12.96dBm, respectively, at 2.5GHz with a DC-to-radiated 2nd harmonic conversion of 0.8%. Almost 40\u00b0 of beam-steering at 2.5GHz was measured in 2D space for the 2\u00d72 array and more than 15dB suppression of the first and third harmonic compared to the desired second harmonic was measured in the radiated far-field.", "date": "2011-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "id_number": "CaltechAUTHORS:20170222-172506615", "isbn": "978-1-61284-757-3", "book_title": "2011 IEEE MTT-S International Microwave Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170222-172506615", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/MWSYM.2011.5972981", "resource_type": "book_section", "pub_year": "2011", "author_list": "Seo, Dongjin; Sengupta, Kaushik; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/bamv5-g9769", "eprint_id": 23828, "eprint_status": "archive", "datestamp": "2023-08-22 02:39:46", "lastmod": "2023-10-23 20:03:06", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Keehr-E-A", "name": { "family": "Keehr", "given": "Edward A." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Successive Regeneration and Adaptive Cancellation of Higher Order Intermodulation Products in RF Receivers", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Adaptive equalization; feedforward cancellation; mixed-signal linearization; nonlinear circuits; RF receivers", "note": "\u00a9 2011 IEEE.\n\nManuscript received October 01, 2010; revised February 23, 2011; accepted\nFebruary 23, 2011. Date of publication March 22, 2011; date of current version\nMay 11, 2011.\nThis paper is an expanded paper from the IEEE Radio Frequency\nIntegrated Circuits (RFIC) Symposium, Anaheim, CA, May 23\u201328, 2010.\nThe authors would like to thank UMC, Hsinchu, Taiwan,\nfor fabrication of the RF/analog die, Cadence, San Jose, CA,\nfor supplying design software, Zeland, Fremont, CA, for\nsupplying the IE3D EM simulation package, the Rogers Corporation,\nRogers, CT, for supplying dielectric substrates, and\nthe Lee Center for Advanced Networking, Pasadena, CA, for\nfunding. The authors would like to also thank H. Mani, Arizona\nState University, Tempe, for assembly assistance and J. Yoo,\nCalifornia Institute of Technology, Pasadena, and Dr. S. Kee, AyDeeKay LLC, Laguna Niguel, CA, for careful review of this\npaper's manuscript.", "abstract": "In this paper, a general framework for the adaptive\nfeedforward cancellation of higher order intermodulation distortion\n(IMD) products is presented. By generating only second-order\nand principal-odd-order IMD reference products in the RF/analog\ndomain and reproducing higher order IMD reference products at\ndigital baseband, the proposed reference distortion scheme minimizes\nthe analog hardware burden on the system. Inherent in this\nprocedure is an approximation that the profile of blocking signals\ncausing IMD is dominated by one very large blocker. The limitations\nimposed by this approximation are quantitatively examined\nand shown to permit cancellation ratios of nearly the square\nof the ratio between the dominant and nondominant blocking\nsignal RMS amplitudes. An experimental receiver employing\nthe proposed technique was constructed utilizing a wide-swing\nlow-noise transconductance amplifier in order to accommodate a\nrail-to-rail (+12.4 dBm) out-of-band blocker and a -16.3-dBm\nnondominant blocker. The measured receiver out-of-band 1-dB\ndesensitization point is +12.5 dBm and the peak uncorrected\ntwo-tone third-order intermodulation intercept point (IIP3) is\n+33.5 dBm. Utilizing the proposed IMD cancellation scheme in\nthe presence of a modulated dominant blocker improves the total\ninput-referred IMD error power by over 24 dB, resulting in an\nextrapolated IIP3 metric of +43.5 dBm.", "date": "2011-05", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "59", "number": "5", "publisher": "IEEE", "pagerange": "1379-1396", "id_number": "CaltechAUTHORS:20110531-081045930", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110531-081045930", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Lee Center for Advanced Networking" } ] }, "other_numbering_system": { "items": [ { "id": "11976142", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/TMTT.2011.2123107", "resource_type": "article", "pub_year": "2011", "author_list": "Keehr, Edward A. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jhbs0-rrt18", "eprint_id": 74937, "eprint_status": "archive", "datestamp": "2023-08-19 05:26:44", "lastmod": "2023-10-24 23:49:27", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Fukuda-Satoshi", "name": { "family": "Fukuda", "given": "Satoshi" } }, { "id": "Hino-Yasufumi", "name": { "family": "Hino", "given": "Yasufumi" } }, { "id": "Ohashi-Sho", "name": { "family": "Ohashi", "given": "Sho" } }, { "id": "Takeda-Takahiro", "name": { "family": "Takeda", "given": "Takahiro" } }, { "id": "Shinke-Satoru", "name": { "family": "Shinke", "given": "Satoru" } }, { "id": "Uno-Masahiro", "name": { "family": "Uno", "given": "Masahiro" } }, { "id": "Komori-Kenji", "name": { "family": "Komori", "given": "Kenji" } }, { "id": "Akiyama-Yoshiyuki", "name": { "family": "Akiyama", "given": "Yoshiyuki" } }, { "id": "Kawasaki-Kenichi", "name": { "family": "Kawasaki", "given": "Kenichi" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 12.5+12.5Gb/s full-duplex plastic waveguide interconnect", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2011 IEEE.", "abstract": "This paper presents a 12.5+12.5Gb/s full-duplex plastic waveguide interconnect solution based on millimeter-wave signal transmission. The plastic waveguide is simply a long solid piece of plastic that provides a very simple, versatile, flexible, and low-cost transmission medium that has the main advantages of optical fiber in isolation and bandwidth, without the need for costly EO and OE. The dielectric waveguide does not need to be connected electrically like the wire or aligned to micron-level accuracy like optical fibers. It can be bent and twisted without significant impact on the signal. Compared to the wireless link discussed earlier, it offers additional signal isolation and confinement. Thus, it can be extended over much longer distances due to the low attenuation in the waveguide (as opposed to free space) and multiple independent lines can be run in parallel to increase the bandwidth. In our proposed plastic waveguide link, the TXs and RXs are fully integrated in CMOS, and the waveguide couplers can be fabricated in a conventional resin package without additional cost. In our existing setting there are a transmitter and a receiver operating at different carrier frequencies on each side of the waveguide, making it possible to realize a full-duplex solution. Because of the smaller fractional bandwidth for the millimeter-wave transmission, no equalization circuit is required.", "date": "2011-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "150-152", "id_number": "CaltechAUTHORS:20170308-153526291", "isbn": "978-1-61284-302-5", "book_title": "2011 IEEE International Solid-State Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170308-153526291", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2011.5746259", "resource_type": "book_section", "pub_year": "2011", "author_list": "Fukuda, Satoshi; Hino, Yasufumi; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/cmqp4-pm554", "eprint_id": 74668, "eprint_status": "archive", "datestamp": "2023-08-19 05:26:37", "lastmod": "2023-10-24 22:50:34", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Distributed active radiation for THz signal generation", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2011 IEEE. \n\nThe authors acknowledge J. Zmuidzinas, G. Blake, D. Miller, P. Siegel, S. Weinreb, Kaushik Dasgupta for their assistance and thank Ansoft, Zeland for software support.", "abstract": "In this paper, we introduce the distributed-active-radiator (DAR) structures which consolidate the signal generation, multiplication, filtering, and radiation in a single active electromagnetically coupled structure. As examples of distributed active radiators, we demonstrate 2x1 and 2x2 arrays of DAR structures radiating at 300GHz, which achieve three orders of magnitude higher total radiated power than previously reported.", "date": "2011-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "288-289", "id_number": "CaltechAUTHORS:20170302-124725518", "isbn": "978-1-61284-302-5", "book_title": "2011 IEEE International Solid-State Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170302-124725518", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2011.5746322", "resource_type": "book_section", "pub_year": "2011", "author_list": "Sengupta, Kaushik and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/pynk1-h9c52", "eprint_id": 23090, "eprint_status": "archive", "datestamp": "2023-08-19 05:24:53", "lastmod": "2023-10-23 17:54:42", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Next-Generation CMOS RF Power Amplifiers", "ispublished": "pub", "full_text_status": "restricted", "note": "\u00a9 2011 IEEE.\n\nDate of publication: 14 January 2011.", "abstract": "Ten years ago, it was widely accepted conventional wisdom that wattlevel fully integrated power amplifiers (PAs) were not feasible in standard complimentary metal-oxide-semiconductor (CMOS) technology. Today millions of such devices are commercially produced and shipped every month and are used in hundreds of millions of cellular phones across the world. Such dramatic transition from being considered an impossibility even by most optimistic academics to the obvious future direction to be followed by everyone happened through a series of demonstrations based on new architectures radically different from the known PA topologies applied over more than half a century.", "date": "2011-02", "date_type": "published", "publication": "IEEE Microwave Magazine", "volume": "12", "number": "1", "publisher": "IEEE", "pagerange": "38-45", "id_number": "CaltechAUTHORS:20110324-091631996", "issn": "1527-3342", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110324-091631996", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "11759677", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/MMM.2010.939321", "resource_type": "article", "pub_year": "2011", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/z6bh9-6ma82", "eprint_id": 22810, "eprint_status": "archive", "datestamp": "2023-08-22 01:57:34", "lastmod": "2023-10-23 17:13:37", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Lavaei-J", "name": { "family": "Lavaei", "given": "Javad" } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Doyle-J-C", "name": { "family": "Doyle", "given": "John C." }, "orcid": "0000-0002-1828-2486" } ] }, "title": "Solving Large-Scale Hybrid Circuit-Antenna Problems", "ispublished": "pub", "full_text_status": "restricted", "keywords": "Antenna radiation pattern; circuit network analysis; circuit optimization; convex optimization; integrated antennas; linear matrix inequalities; reconfigurable antenna", "note": "\u00a9 2010 IEEE.\n\nManuscript received December 11, 2009; revised May 09, 2010; accepted July 22, 2010. Date of publication November 15, 2010; date of current version January 28, 2011. \n\nThis work was supported in part by ONR MURI N00014-08-1-0747 (\"Scalable, Data-driven, and Provably-correct Analysis of Networks\"), by ARO MURI W911NF-08-1-0233 (\"Tools for the Analysis and Design of Complex Multi-Scale Networks\"), and by the Army's W911NF-09-D-0001 Institute for Collaborative Biotechnology. This paper was recommended by Associate Editor L. B. Goldgeisser.", "abstract": "Motivated by different applications in circuits, electromagnetics, and optics, this paper is concerned with the synthesis of a particular type of linear circuit, where the circuit is associated with a control unit. The objective is to design a controller for this control unit such that certain specifications on the parameters of the circuit are satisfied. It is shown that designing a control unit in the form of a switching network is an NP-complete problem that can be formulated as a rank-minimization problem. It is then proven that the underlying design problem can be cast as a semidefinite optimization if a passive network is designed instead of a switching network. Since the implementation of a passive network may need too many components, the design of a decoupled (sparse) passive network is subsequently studied. This paper introduces a tradeoff between design simplicity and implementation complexity for an important class of linear circuits. The superiority of the developed techniques is demonstrated by different simulations. In particular, for the first time in the literature, a wavelength-size passive antenna is designed, which has an excellent beamforming capability and which can concurrently make a null in at least eight directions.", "date": "2011-02", "date_type": "published", "publication": "IEEE Transactions on Circuits and Systems I: Regular Papers", "volume": "58", "number": "2", "publisher": "IEEE", "pagerange": "374-387", "id_number": "CaltechAUTHORS:20110310-133536770", "issn": "1549-8328", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110310-133536770", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-08-1-0747" }, { "agency": "Army Research Office (ARO)", "grant_number": "W911NF-08-1-0233" }, { "agency": "Army Research Office (ARO)", "grant_number": "W911NF-09-D-0001" } ] }, "other_numbering_system": { "items": [ { "id": "11775491", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/TCSI.2010.2072010", "resource_type": "article", "pub_year": "2011", "author_list": "Lavaei, Javad; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/xxaz1-zhy17", "eprint_id": 52295, "eprint_status": "archive", "datestamp": "2023-08-19 05:00:22", "lastmod": "2023-10-18 19:44:39", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Design of a Frequency Shift Based CMOS Magnetic Sensor Array for Point-of-Care (PoC) Biomolecular Diagnosis Applications", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2014 Taylor and Francis Group. \n\nThe authors would acknowledge Dr. Yan Chen, Dr. David Wu, and Mr Constantine Sideris for their technical supports during the sensor testing. The authors would also like to thank Professor Axel Scherer, Sander Weinreb, Azita Emami, and the members of Caltech high-speed-integrated circuit group (CHIC) for their helpful discussions.", "abstract": "Future point-of-care (PoC) molecular-level diagnostic systems require advanced biosensors that can offer high sensitivity, ultra-portability, and a low price-tag. Targeting on-site detection of biomolecules, such as DNAs, RNAs, or proteins, this type of systems is believed to play a crucial role in a variety of emerging applications such as in-field medical diagnostics, epidemic disease control, and biohazard detection.", "date": "2011", "date_type": "published", "publisher": "CRC Press", "pagerange": "109-132", "id_number": "CaltechAUTHORS:20141202-153053675", "isbn": "978-1-4398-3620-0", "book_title": "Integrated Microsystems", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20141202-153053675", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "contributors": { "items": [ { "id": "Iniewski-K", "name": { "family": "Iniewski", "given": "Krzysztof" } } ] }, "resource_type": "book_section", "pub_year": "2011", "author_list": "Wang, Hua and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/8c051-c0395", "eprint_id": 21733, "eprint_status": "archive", "datestamp": "2023-08-22 01:28:50", "lastmod": "2023-10-21 00:16:52", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A CMOS Broadband Power Amplifier With a Transformer-Based High-Order Output Matching Network", "ispublished": "pub", "full_text_status": "public", "keywords": "Broadband; CMOS, high-order output matching; impedance transformation; instantaneous bandwidth; optimum load impedance; power amplifier; transformer", "note": "\u00a9 2010 IEEE.\n\nManuscript received April 27, 2010; revised August 11, 2010; accepted August 12, 2010. Date of current version December 03, 2010.\n\nThis paper was approved\nby Guest Editor Kari Halonen.\n\nThe authors would like to thank Prof. A. Emami and Dr. S.\nWeinreb at Caltech for their technical advice. The authors also acknowledge Mr. Ta-Shun Chu at USC, Prof. Y. J. Wang at\nNCTU, Mr. S. Kousai at Toshiba, the members of Caltech CHIC\ngroup for their numerous suggestions, and Toshiba Corporation for chip fabrication.\n\nPublished - Wang2010p12333Ieee_J_Solid-St_Circ.pdf
", "abstract": "A transformer-based high-order output matching network is proposed for broadband power amplifier design, which\nprovides optimum load impedance for maximum output power\nwithin a wide operating frequency range. A design methodology to convert a canonical bandpass network to the proposed matching configuration is also presented in detail. As a design example, a push-pull deep class-AB PA is implemented with a third-order output network in a standard 90 nm CMOS process. The leakage inductances of the on-chip 2:1 transformer are absorbed into the output matching to realize the third-order network with only two\ninductor footprints for area conservation. The amplifier achieves a 3 dB bandwidth from 5.2 to 13 GHz with +25.2 dBm peak P_sat and 21.6% peak PAE. The EVM for QPSK and 16-QAM signals both with 5 Msample/s are below 3.6% and 5.9% at the output 1 dB compression point. This verifies the PA's capability of amplifying a narrowband modulated signal whose center-tone can be programmed across a large frequency range. The measured BER for transmitting a truly broadband PRBS signal up to 7.5 Gb/s is less than 10^(-13) , demonstrating the PA's support for an instantaneous wide operation bandwidth.", "date": "2010-12", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "45", "number": "12", "publisher": "IEEE", "pagerange": "2709-2722", "id_number": "CaltechAUTHORS:20110112-123555604", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110112-123555604", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "11684797", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/JSSC.2010.2077171", "primary_object": { "basename": "Wang2010p12333Ieee_J_Solid-St_Circ.pdf", "url": "https://authors.library.caltech.edu/records/8c051-c0395/files/Wang2010p12333Ieee_J_Solid-St_Circ.pdf" }, "resource_type": "article", "pub_year": "2010", "author_list": "Wang, Hua; Sideris, Constantine; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7tsxk-ett66", "eprint_id": 23203, "eprint_status": "archive", "datestamp": "2023-08-19 04:35:14", "lastmod": "2024-01-13 05:14:31", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Lavaei-J", "name": { "family": "Lavaei", "given": "Javad" } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Doyle-J-C", "name": { "family": "Doyle", "given": "John C." }, "orcid": "0000-0002-1828-2486" } ] }, "title": "Passively Controllable Smart Antennas", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2011 IEEE.\n\nIssue Date: 6-10 Dec. 2010; Date of Current Version: 10 January 2011.\n\nThis research was supported by ONR MURI N00014-08-\n1-0747 \"Scalable, Data-driven, and Provably-correct Analysis\nof Networks,\" ARO MURI W911NF-08-1-0233 \"Tools for the Analysis and Design of Complex Multi-Scale Networks,\" and the Army's W911NF-09-D-0001 Institute for Collaborative Biotechnology.\n\nPublished - Lavaei2010p13335Globecom_2007_2007_Ieee_Global_Telecommunications_Conference_Vols_1-11.pdf
", "abstract": "We recently introduced passively controllable smart (PCS) antenna systems for efficient wireless transmission, with direct applications in wireless sensor networks. A PCS antenna system is accompanied by a tunable passive controller whose adjustment at every signal transmission generates a specific radiation pattern. To reduce co-channel interference and optimize the transmitted power, this antenna can be programmed to transmit data in a desired direction in such a way that no signal is transmitted (to the far field) at pre-specified undesired directions. The controller of a PCS antenna was assumed to be centralized in our previous work, which was an impediment to its implementation. In this work, we study the design of PCS antenna systems under decentralized controllers, which are both practically implementable and cost efficient. The PCS antenna proposed here is made of one active element and its programming needs solving second-order-cone optimizations. These properties differentiate a PCS antenna from the existing smart antennas, and make it possible to implement a PCS antenna on a small-sized, low-power silicon chip.", "date": "2010-12", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1-6", "id_number": "CaltechAUTHORS:20110401-112525230", "isbn": "978-1-4244-5638-3", "book_title": "2010 IEEE Global Telecommunications Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110401-112525230", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-08-1-0747" }, { "agency": "Army Research Office (ARO)", "grant_number": "W911NF-08-1-0233" }, { "agency": "Army Research Office (ARO)", "grant_number": "W911NF-09-D-0001" } ] }, "other_numbering_system": { "items": [ { "id": "11743542", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/GLOCOM.2010.5684358", "primary_object": { "basename": "Lavaei2010p13335Globecom_2007_2007_Ieee_Global_Telecommunications_Conference_Vols_1-11.pdf", "url": "https://authors.library.caltech.edu/records/7tsxk-ett66/files/Lavaei2010p13335Globecom_2007_2007_Ieee_Global_Telecommunications_Conference_Vols_1-11.pdf" }, "resource_type": "book_section", "pub_year": "2010", "author_list": "Lavaei, Javad; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/q501w-x0359", "eprint_id": 75080, "eprint_status": "archive", "datestamp": "2023-08-19 03:42:37", "lastmod": "2023-10-25 14:43:33", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A frequency-shift based CMOS magnetic biosensor with spatially uniform sensor transducer gain", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2010 IEEE.\n\nPublished - 05617603.pdf
", "abstract": "This paper presents a scalable and ultrasensitive magnetic biosensing scheme based on on-chip LC resonance frequency-shifting. The sensor transducer gain is demonstrated as being location-dependent on the sensing surface and proportional to the local polarization magnetic field strength |B|^2 generated by the sensing inductor. To improve the gain uniformity, a bowl-shape stacked coil together with floating shimming metal is proposed for the inductor design. As an implementation example, a 16-cell sensor array is designed in a 45nm CMOS process. The spatially uniform sensor gain of the array is verified by testing micron-size magnetic particles randomly placed on the sensing surface. The Correlated-Double-Counting (CDC) noise cancellation scheme is also implemented in the presented design, which achieves a noise suppression of 10.6dB with no power overhead. Overall, the presented sensor demonstrates a dynamic range of at least 85.4dB.", "date": "2010-09", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "id_number": "CaltechAUTHORS:20170313-172430381", "isbn": "978-1-4244-5760-1", "book_title": "IEEE Custom Integrated Circuits Conference 2010", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170313-172430381", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/CICC.2010.5617603", "primary_object": { "basename": "05617603.pdf", "url": "https://authors.library.caltech.edu/records/q501w-x0359/files/05617603.pdf" }, "resource_type": "book_section", "pub_year": "2010", "author_list": "Wang, Hua; Sideris, Constantine; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/6183c-cnh29", "eprint_id": 23290, "eprint_status": "archive", "datestamp": "2023-08-19 03:03:44", "lastmod": "2024-01-13 05:14:58", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Lavaei-J", "name": { "family": "Lavaei", "given": "Javad" } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Doyle-J-C", "name": { "family": "Doyle", "given": "John C." }, "orcid": "0000-0002-1828-2486" } ] }, "title": "A Study of Near-Field Direct Antenna Modulation Systems Using Convex Optimization", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2010 AACC. \n\nIssue Date: June 30 2010-July 2 2010. Date of Current Version: 29 July 2010. \n\nThis research was supported by ONR MURI N00014-08-1-0747 \"Scalable, Data-driven, and Provably-correct Analysis of Networks,\" ARO MURI W911NF-08-1-0233 \"Tools for the Analysis and Design of Complex Multi-Scale Networks,\" and the Army's W911NF-09-D-0001 Institute for Collaborative Biotechnology.\n\nPublished - Lavaei2010p134172009_American_Control_Conference_Vols_1-9.pdf
", "abstract": "This paper studies the constellation diagram design\nfor a class of communication systems known as near-field\ndirect antenna modulation (NFDAM) systems. The modulation\nis carried out in a NFDAM system by means of a control\nunit that switches among a number of pre-designed passive\ncontrollers such that each controller generates a desired voltage\nsignal at the far field. To find an optimal number of signals\nthat can be transmitted and demodulated reliably in a NFDAM\nsystem, the coverage area of the signal at the far field should\nbe identified. It is shown that this coverage area is a planar\nconvex region in general and simply a circle in the case when no\nconstraints are imposed on the input impedance of the antenna\nand the voltage received at the far field. A convex optimization\nmethod is then proposed to find a polygon that is able to approximate\nthe coverage area of the signal constellation diagram\nsatisfactorily. A similar analysis is provided for the identification\nof the coverage area of the antenna input impedance, which is\nbeneficial for designing an energy-efficient NFDAM system.", "date": "2010-07", "date_type": "published", "publisher": "IEEE", "pagerange": "1065-1072", "id_number": "CaltechAUTHORS:20110412-144235909", "isbn": "978-1-4244-7426-4", "book_title": "2010 American Control Conference (ACC)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110412-144235909", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-08-1-0747" }, { "agency": "Army Research Office (ARO)", "grant_number": "W911NF-08-1-0233" }, { "agency": "Army Research Office (ARO)", "grant_number": "W911NF-09-D-0001" } ] }, "other_numbering_system": { "items": [ { "id": "11509315", "name": "INSPEC Accession Number" } ] }, "primary_object": { "basename": "Lavaei2010p134172009_American_Control_Conference_Vols_1-9.pdf", "url": "https://authors.library.caltech.edu/records/6183c-cnh29/files/Lavaei2010p134172009_American_Control_Conference_Vols_1-9.pdf" }, "resource_type": "book_section", "pub_year": "2010", "author_list": "Lavaei, Javad; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hpgvj-krt05", "eprint_id": 20383, "eprint_status": "archive", "datestamp": "2023-08-19 02:41:13", "lastmod": "2023-10-20 22:29:11", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Generalized Time- and Transfer-Constant Circuit Analysis", "ispublished": "pub", "full_text_status": "public", "keywords": "Bandwidth enhancement techniques, circuit\nAnalysis, Cochran-Grabel method, determination of poles and\nzeros, infinite-value time constants (IVT), method of time and\ntransfer constants (TTC), zero-value time constants (ZVT)", "note": "\u00a9 2010 IEEE.\nManuscript received February 17, 2009; revised May 20, 2009; accepted July\n08, 2009. First published November 24, 2009; current version published June\n09, 2010. This paper was recommended by Associate Editor W. A. Serdijn.\n\nThe author would like to thank T. Arai, J. Arroyo,\nA. Babakhani, F. Bohn, S. Bowers, J. Chen, E. Keehr,\nA. Komijani, S. Kosai, S. Mehta, A. Natarajan, K. Sengupta,\nC. Sidiris, H. Wang, Y. J. Wang, and J. Yoo formerly\nor currently of Caltech for valuable feedback on the manuscript.\nThe author would also like to thank the anonymous\nreviewers as well as Prof. B. Murmann of Stanford University\nand Prof. H. Hashemi of USC for their constructive feedback\non the manuscript. Last, but not least, the author is particularly\nindebted to the students in Caltech's EE114 series who helped\nimprove this material substantially over more than a decade\nby asking great questions and refusing to accept incomplete\nanswers.\n\nPublished - Hajimiri2010p11511Ieee_T_Circuits-I.pdf
", "abstract": "The generalized method of time and transfer constants\nis introduced. It can be used to determine the transfer\nfunction to the desired level of accuracy in terms of time and\ntransfer constants of first-order systems using exclusively low\nfrequency calculations. This method can be used to determine the\npoles and zeros of circuits with both inductors and capacitors.\nAn inductive proof of this generalized method is given which\nsubsumes special cases, such as methods of zero- and infinite-value\ntime constants. Several important and useful corollaries of this\nmethod are discussed and several examples are analyzed.", "date": "2010-06", "date_type": "published", "publication": "IEEE Transactions on Circuits and Systems", "volume": "57", "number": "6", "publisher": "IEEE", "pagerange": "1105-1121", "id_number": "CaltechAUTHORS:20101011-132051958", "issn": "0098-4094", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20101011-132051958", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "11341217", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/TCSI.2009.2030092", "primary_object": { "basename": "Hajimiri2010p11511Ieee_T_Circuits-I.pdf", "url": "https://authors.library.caltech.edu/records/hpgvj-krt05/files/Hajimiri2010p11511Ieee_T_Circuits-I.pdf" }, "resource_type": "article", "pub_year": "2010", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/1cc7h-5aw87", "eprint_id": 23305, "eprint_status": "archive", "datestamp": "2023-08-21 23:53:18", "lastmod": "2024-01-13 05:15:07", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Sengupta-K", "name": { "family": "Sengupta", "given": "Kaushik" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Compact Self-similar Power Combining Topology", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS; Millimeter wave; power amplifier; power combining; self-similar; 77 GHz", "note": "\u00a9 2010 IEEE.\nIssue Date: 23-28 May 2010.\nDate of Current Version: 23 July 2010.\n\nPublished - Sengupta2010p134302008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf
", "abstract": "A compact, modular 16-way combiner is presented\nwhich is based on a self-similar combiner topology. The combiner\nachieves a simulated passive efficiency of 38% at 77 GHz in a\nstandard 90nm process with 1.49 \u00b5m thick AI top metal. A 77\nGHz power amplifier is built based on the combiner, combining\nthe output power of 16 stages to achieve a P_(sat) of 11.4dBm, small\nsignal gain of 9.4dB, and a 3dB bandwidth of more than 11 GHz\non a 0.7V supply, with the optimal MAG for the technology\nbeing approximately 5dB at 77 GHz. The power amplifier is\nunconditionally stable with the K factor exceeding 3.8 between\n50-90 GHz. The entire architecture is based on a modular power\nsplitting and combining network that makes the design flexible\nand scalable. To the best of the authors' knowledge, this is\nthe highest P_(sat) reported at 77 GHz in CMOS with a sub 1V\nquiescent V_(ds).", "date": "2010-05", "date_type": "published", "publisher": "IEEE", "pagerange": "244-247", "id_number": "CaltechAUTHORS:20110413-085620030", "isbn": "978-1-4244-7732-6", "book_title": "2010 IEEE MTT-S International Microwave Symposium Digest (MTT)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110413-085620030", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/MWSYM.2010.5516963", "primary_object": { "basename": "Sengupta2010p134302008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf", "url": "https://authors.library.caltech.edu/records/1cc7h-5aw87/files/Sengupta2010p134302008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf" }, "resource_type": "book_section", "pub_year": "2010", "author_list": "Sengupta, Kaushik and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/s9d06-19g39", "eprint_id": 23312, "eprint_status": "archive", "datestamp": "2023-08-19 02:24:00", "lastmod": "2024-01-13 05:15:12", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Kosai-Shohei", "name": { "family": "Kosai", "given": "Shohei" } }, { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An ultrasensitive CMOS magnetic biosensor array with correlated double counting noise suppression", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2010 IEEE.\nIssue Date: 23-28 May 2010.\nDate of Current Version: 23 July 2010.\n\nThe authors would like to thank C-H Lin and Z. Li of the\nCalifornia Institute of Technology for their technical support\non SEM imaging.\n\nPublished - Wang2010p134182008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf
", "abstract": "This paper presents a scalable and ultrasensitive\nfrequency-shift magnetic biosensing array scheme. The\ntheoretical limit of the sensor noise floor is shown to be\ndominated by the phase noise of the sensing oscillators. To\nincrease the sensitivity, a noise suppression technique, Correlated Double Counting (CDC), is proposed with no power overhead. As an implementation example, a 64-cell sensor array is designed in a standard 65nm CMOS process. The CDC scheme achieves an additional 6dB noise suppression. The magnetic sensing capability of the presented sensor is verified by detecting micron size magnetic particles with an SNR of 14.6dB for a single bead and an effective dynamic range of at least 74.5dB.", "date": "2010-05", "date_type": "published", "publisher": "IEEE", "pagerange": "616-619", "id_number": "CaltechAUTHORS:20110413-133914587", "isbn": "978-1-4244-7732-6", "book_title": "2010 IEEE MTT-S International Microwave Symposium Digest (MTT)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110413-133914587", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "doi": "10.1109/MWSYM.2010.5514719", "primary_object": { "basename": "Wang2010p134182008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf", "url": "https://authors.library.caltech.edu/records/s9d06-19g39/files/Wang2010p134182008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf" }, "resource_type": "book_section", "pub_year": "2010", "author_list": "Wang, Hua; Kosai, Shohei; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/60fk2-g0f37", "eprint_id": 22794, "eprint_status": "archive", "datestamp": "2023-08-19 01:02:31", "lastmod": "2024-01-13 00:11:08", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Sideris-C", "name": { "family": "Sideris", "given": "Constantine" }, "orcid": "0000-0002-3042-4889" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Frequency-Shift based CMOS Magnetic Biosensor\n with Spatially Uniform Sensor Transducer Gain", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2010 IEEE. Issue Date: 19-22 September 2010, Date of Current Version: 01 November 2010.\n\nPublished - Wang2010p12907Ieee_Custom_Integrated_Circuits_Conference_2010.pdf
", "abstract": "This paper presents a scalable and ultrasensitive magnetic biosensing scheme based on on-chip LC resonance frequency-shifting. The sensor transducer gain is demonstrated as being location-dependent on the sensing surface and proportional to the local polarization magnetic field strength |B|^2 generated by the sensing inductor. To improve the gain uniformity, a bowl-shape stacked coil together with floating shimming metal is proposed for the inductor design. As an implementation example, a 16-cell sensor array is designed in a 45nm CMOS process. The spatially uniform sensor gain of the array is verified by testing micron-size magnetic particles randomly placed on the sensing surface. The Correlated-Double-Counting (CDC) noise cancellation scheme is also implemented in the presented design, which achieves a noise suppression of 10.6dB with no power overhead. Overall, the presented sensor demonstrates a dynamic range of at least 85.4dB.", "date": "2010", "date_type": "published", "publisher": "IEEE", "place_of_pub": "New York, NY", "pagerange": "1-4", "id_number": "CaltechAUTHORS:20110310-094654711", "isbn": "978-1-4244-5760-1", "book_title": "2010 IEEE Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110310-094654711", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "other_numbering_system": { "items": [ { "id": "11627759", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2010.5617603", "primary_object": { "basename": "Wang2010p12907Ieee_Custom_Integrated_Circuits_Conference_2010.pdf", "url": "https://authors.library.caltech.edu/records/60fk2-g0f37/files/Wang2010p12907Ieee_Custom_Integrated_Circuits_Conference_2010.pdf" }, "resource_type": "book_section", "pub_year": "2010", "author_list": "Wang, Hua; Sideris, Constantine; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/q33kb-jc739", "eprint_id": 23190, "eprint_status": "archive", "datestamp": "2023-08-21 23:03:35", "lastmod": "2024-01-13 05:14:21", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Keehr-E-A", "name": { "family": "Keehr", "given": "Edward A." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A rail-to-rail input receiver employing successive regeneration and adaptive cancellation of intermodulation products", "ispublished": "unpub", "full_text_status": "public", "keywords": "Adaptive equalization; feedforward cancellation; mixed-signal linearization; nonlinear circuits; RF receivers; wireless communications", "note": "\u00a9 2010 IEEE.\nIssue Date: 23-25 May 2010.\nDate of Current Version: 03 June 2010.\nThe authors thank UMC for chip fabrication and H.\nMani for assembly assistance. This work was supported\nby the Lee Center for Advanced Networking.\n\nPublished - Keehr2010p132662008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf
", "abstract": "A direct conversion receiver is demonstrated\nwhich operates in the presence of a rail-to-rail (+12.4dBm)\nout-of-band blocker and a -16.3dBm blocker, where the ICP1\nis +12.5dBm and the uncorrected extrapolated IIP3 is\n+33.5dBm. IM distortion is adaptively cancelled via\nfeedforward loops which are digitally expanded to reproduce\nhigher order nonlinear reference terms. Cancellation\nimproves input-referred total IM distortion by over 24dB,\nresulting in an extrapolated IIP3 of +45.3dBm.", "date": "2010", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "47-50", "id_number": "CaltechAUTHORS:20110331-111458690", "isbn": "978-1-4244-6241-4", "book_title": "Proceedings of the 2010 IEEE Radio Frequency Integrated Circuits Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110331-111458690", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Lee Center for Advanced Networking" } ] }, "other_numbering_system": { "items": [ { "id": "11360811", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/RFIC.2010.5477407", "primary_object": { "basename": "Keehr2010p132662008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf", "url": "https://authors.library.caltech.edu/records/q33kb-jc739/files/Keehr2010p132662008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf" }, "resource_type": "book_section", "pub_year": "2010", "author_list": "Keehr, Edward A. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/rc492-bvj94", "eprint_id": 23447, "eprint_status": "archive", "datestamp": "2023-08-19 01:07:07", "lastmod": "2024-01-13 05:15:45", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Lavaei-J", "name": { "family": "Lavaei", "given": "Javad" } }, { "id": "Doyle-J-C", "name": { "family": "Doyle", "given": "John C." }, "orcid": "0000-0002-1828-2486" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Finding globally optimum solutions in antenna optimization problems", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2010 IEEE.\nIssue Date: 11-17 July 2010.\nDate of Current Version: 02 September 2010.\n\nPublished - Babakhani2010p136122010_Ieee_Antennas_And_Propagation_Society_International_Symposium.pdf
", "abstract": "During the last decade, the unprecedented increase in the affordable computational power\nhas strongly supported the development of optimization techniques for designing\nantennas. Among these techniques, genetic algorithm [1] and particle swarm optimization\n[2] could be mentioned. Most of these techniques use physical dimensions of an antenna\nas the optimization variables, and require solving Maxwell's equations (numerically) at\neach optimization step. They are usually slow, unable to handle a large number of\nvariables, and incapable of finding the globally optimum solutions. In this paper, we are\nproposing an antenna optimization technique that is orders of magnitude faster than the\nconventional schemes, can handle thousands of variables, and finds the globally optimum\nsolutions for a broad range of antenna optimization problems. In the proposed scheme,\ntermination impedances embedded on an antenna structure are used as the optimization\nvariables. This is particularly useful in designing on-chip smart antennas, where\nthousands of transistors and variable passive elements can be employed to reconfigure an\nantenna. By varying these parasitic impedances, an antenna can vary its gain, band-width,\npattern, and efficiency. The goal of this paper is to provide a systematic, numerically\nefficient approach for finding globally optimum solutions in designing smart antennas.", "date": "2010", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1-4", "id_number": "CaltechAUTHORS:20110425-110624129", "isbn": "978-1-4244-4967-5", "book_title": "2010 IEEE Antennas and Propagation Society International Symposium (APSURSI)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110425-110624129", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "other_numbering_system": { "items": [ { "id": "11514715", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/APS.2010.5561993", "primary_object": { "basename": "Babakhani2010p136122010_Ieee_Antennas_And_Propagation_Society_International_Symposium.pdf", "url": "https://authors.library.caltech.edu/records/rc492-bvj94/files/Babakhani2010p136122010_Ieee_Antennas_And_Propagation_Society_International_Symposium.pdf" }, "resource_type": "book_section", "pub_year": "2010", "author_list": "Babakhani, Aydin; Lavaei, Javad; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/mqgkf-zw453", "eprint_id": 17308, "eprint_status": "archive", "datestamp": "2023-08-21 22:48:46", "lastmod": "2023-10-19 23:45:35", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Kousai-S", "name": { "family": "Kousai", "given": "Shouhei" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An Octave-Range, Watt-Level, Fully-Integrated CMOS Switching Power Mixer Array for Linearization and Back-Off-Efficiency Improvement", "ispublished": "pub", "full_text_status": "public", "keywords": "Back-off efficiency; CMOS power amplifier; distortion dependence shaping; envelope restoration; linearization; overlapping; power mixer array; segmented power generation; switching operation", "note": "\u00a9 2009 IEEE.\nManuscript received April 28, 2009; revised August 10, 2009. Current version\npublished December 11, 2009. This paper was approved by Guest Editor\nNikolaus Klemmer.\nThe authors would like to thank the members of the CHIC\ngroup at Caltech, particularly Y. Wang and H. Wang for many\nhelpful discussions, Hamdi Mani of Caltech, and Toshiba\nCorporation.\n\nPublished - Kousai2009p6703Ieee_J_Solid-St_Circ.pdf
", "abstract": "The power mixer array is presented as a novel power generation approach for non-constant envelope signals. It comprises several power mixer units that are dynamically turned on and off to improve the linearity and back-off efficiency. At the circuit level, the power mixer unit can operate as a switching amplifier to achieve high peak power efficiency. Additional circuit level linearization and back-off efficiency improvement techniques are also proposed. To demonstrate the feasibility of this idea, a fully-integrated octave-range CMOS power mixer array is implemented in a 130 nm CMOS process. It is operational between 1.2 GHz and 2.4 GHz and can generate an output power of +31.3 dBm into an external 50 \u03a9 load with a PAE of 42% and a gain compression of only 0.4 dB at 1.8 GHz. It achieves a PAE of 25%, at an average output power of +26.4 dBm, and an EVM of 4.6% with a non-constant-envelope 16 QAM signal. It can also produce arbitrary signal levels down to -70 dBm of output power with the 16 QAM-modulated signal without any RF gain control circuit.", "date": "2009-12", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "44", "number": "12", "publisher": "IEEE", "pagerange": "3376-3392", "id_number": "CaltechAUTHORS:20100126-111532019", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100126-111532019", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2009.2032271", "primary_object": { "basename": "Kousai2009p6703Ieee_J_Solid-St_Circ.pdf", "url": "https://authors.library.caltech.edu/records/mqgkf-zw453/files/Kousai2009p6703Ieee_J_Solid-St_Circ.pdf" }, "resource_type": "article", "pub_year": "2009", "author_list": "Kousai, Shouhei and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/bt1fs-08y55", "eprint_id": 93247, "eprint_status": "archive", "datestamp": "2023-08-19 00:44:36", "lastmod": "2023-10-20 16:58:43", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Lavaei-J", "name": { "family": "Lavaei", "given": "Javad" } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Doyle-J-C", "name": { "family": "Doyle", "given": "John C." }, "orcid": "0000-0002-1828-2486" } ] }, "title": "Solving large-scale linear circuit problems via convex optimization", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2009 IEEE. \n\nThis research was supported by ONR MURI N00014-08-1-0747 \"Scalable, Data-driven, and Provably-correct Analysis of Networks,\" ARO MURI W911NF-08-1-0233 \"Tools for the Analysis and Design of Complex Multi-Scale Networks,\" and the Army's W911NF-09-D-0001 Institute for Collaborative Biotechnology.\n\nPublished - 05400690.pdf
", "abstract": "A broad class of problems in circuits, electromagnetics, and optics can be expressed as finding some parameters of a linear system with a specific type. This paper is concerned with studying this type of circuit using the available control techniques. It is shown that the underlying problem can be recast as a rank minimization problem that is NP-hard in general. In order to circumvent this difficulty, the circuit problem is slightly modified so that the resulting optimization becomes convex. This interesting result is achieved at the cost of complicating the structure of the circuit, which introduces a trade-off between the design simplicity and the implementation complexity. When it is strictly required to solve the original circuit problem, the elegant structure of the proposed rank minimization problem allows for employing a celebrated heuristic method to solve it efficiently.", "date": "2009-12", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "4977-4984", "id_number": "CaltechAUTHORS:20190226-085922106", "isbn": "978-1-4244-3872-3", "book_title": "Proceedings of the 48h IEEE Conference on Decision and Control (CDC) held jointly with 2009 28th Chinese Control Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20190226-085922106", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-08-1-0747" }, { "agency": "Army Research Office (ARO)", "grant_number": "W911NF-08-1-0233" }, { "agency": "Army Research Office (ARO)", "grant_number": "W911NF-09-D-0001" } ] }, "collection": "CaltechAUTHORS", "doi": "10.1109/cdc.2009.5400690", "primary_object": { "basename": "05400690.pdf", "url": "https://authors.library.caltech.edu/records/bt1fs-08y55/files/05400690.pdf" }, "resource_type": "book_section", "pub_year": "2009", "author_list": "Lavaei, Javad; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/x0xfw-gdd47", "eprint_id": 16026, "eprint_status": "archive", "datestamp": "2023-08-21 21:57:02", "lastmod": "2023-10-19 21:53:47", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Keehr-E-A", "name": { "family": "Keehr", "given": "Edward A." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Analysis of Internally Bandlimited Multistage Cubic-Term Generators for RF Receivers", "ispublished": "pub", "full_text_status": "public", "keywords": "Adaptive signal processing; digital linearization; mixed-signal linearization; postdistortion; RF receivers; system-level linearization; wireless communications", "note": "\u00a9 Copyright 2009 IEEE. \n\nManuscript received April 07, 2008; revised August 08, 2008. First published October 31, 2008; current version published August 21, 2009. This work was supported in part by the Lee Center for Advanced Networking and in part by a National Defense Science and Engineering Graduate Fellowship. This paper was recommended by Associate Editor E. A. M. Klumperink.\n\nPublished - Keehr2009p5923Ieee_T_Circuits-I.pdf
", "abstract": "Adaptive feedforward error cancellation applied to correct distortion arising from third-order nonlinearities in RF receivers requires low-noise low-power reference cubic nonidealities. Multistage cubic-term generators utilizing cascaded nonlinear operations are ideal in this regard, but the frequency response of the interstage circuitry can introduce errors into the cubing operation. In this paper, an overview of the use of cubic-term generators in receivers relative to other applications is presented. An interstage frequency response plan is presented for a receiver cubic-term generator and is shown to function for arbitrary three-signal third-order intermodulation generation. The noise of such circuits is also considered and is shown to depend on the total incoming signal power across a particular frequency band. Finally, the effects of the interstage group delay are quantified in the context of a relevant communication standard requirement.", "date": "2009-08", "date_type": "published", "publication": "IEEE Transactions on Circuits and Systems I: Regular Papers", "volume": "56", "number": "8", "publisher": "IEEE", "pagerange": "1758-1771", "id_number": "CaltechAUTHORS:20090923-143136224", "issn": "1549-8328", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20090923-143136224", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Lee Center for Advanced Networking, Caltech" }, { "agency": "Department of Defense" } ] }, "doi": "10.1109/TCSI.2008.2008282", "primary_object": { "basename": "Keehr2009p5923Ieee_T_Circuits-I.pdf", "url": "https://authors.library.caltech.edu/records/x0xfw-gdd47/files/Keehr2009p5923Ieee_T_Circuits-I.pdf" }, "resource_type": "article", "pub_year": "2009", "author_list": "Keehr, Edward A. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/s1x30-6b371", "eprint_id": 15198, "eprint_status": "archive", "datestamp": "2023-08-23 16:56:35", "lastmod": "2023-10-18 21:04:11", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hassibi-A", "name": { "family": "Hassibi", "given": "Arjang" } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Spectral-Scanning Nuclear Magnetic Resonance Imaging (MRI) Transceiver", "ispublished": "pub", "full_text_status": "public", "keywords": "Nuclear magnetic resonance (NMR) spectroscopy; magnetic resonance imaging (MRI); coherent detection; Torrey-Bloch equation; nuclear magnetic dephasing", "note": "\u00a9 Copyright 2009 IEEE. \n\nManuscript received March 18, 2008; revised February 23, 2009. Current version published May 28, 2009. \n\nThe authors would like to thank IBM Corporation for providing access to the 8 HP BiCMOS process used in this project, and Hua Wang for technical feedback.\n\nPublished - Hassibi2009p4588Ieee_J_Solid-St_Circ.pdf
", "abstract": "An integrated spectral-scanning nuclear magnetic resonance imaging (MRI) transceiver is implemented in a 0.12 mum SiGe BiCMOS process. The MRI transmitter and receiver circuitry is designed specifically for small-scale surface MRI diagnostics applications where creating low (below 1 T) and inhomogeneous magnetic field is more practical. The operation frequency for magnetic resonance detection and analysis is tunable from 1 kHz to 37 MHz, corresponding to 0-0.9 T magnetization for ^1H (hydrogen). The concurrent measurement bandwidth is approximately one frequency octave. The chip can also be used for conventional narrowband nuclear magnetic resonance (NMR) spectroscopy from 1 kHz up to 250 MHz. This integrated transceiver consists of both the magnetic resonance transmitter which generates the required excitation pulses for the magnetic dipole excitation, and the receiver which recovers the responses of the dipoles.", "date": "2009-06", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "44", "number": "6", "publisher": "IEEE", "pagerange": "1805-1813", "id_number": "CaltechAUTHORS:20090820-155012753", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20090820-155012753", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2009.2020456", "primary_object": { "basename": "Hassibi2009p4588Ieee_J_Solid-St_Circ.pdf", "url": "https://authors.library.caltech.edu/records/s1x30-6b371/files/Hassibi2009p4588Ieee_J_Solid-St_Circ.pdf" }, "resource_type": "article", "pub_year": "2009", "author_list": "Hassibi, Arjang; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/vsjam-mz969", "eprint_id": 75524, "eprint_status": "archive", "datestamp": "2023-08-20 00:49:39", "lastmod": "2023-10-25 15:06:58", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Yu-Jiu", "name": { "family": "Wang", "given": "Yu-Jiu" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A compact low-noise weighted distributed amplifier in CMOS", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2009 IEEE.\n\nPublished - 04977387.pdf
Supplemental Material - V12_03.pdf
", "abstract": "The noise figure (NF) of a front-end low-noise amplifier (LNA) places a lower bound on the sensitivity of a receiver. In a conventional LNA, there is a tradeoff between the intrinsic input capacitance of the input transistors and the achievable bandwidth (BW) of the amplifier. This makes it necessary to use smaller transistors at higher gate overdrive voltages to simultaneously achieve greater BW and better NF. Unfortunately, biasing the transistor in this fashion yields a power-inefficient design. Furthermore, the need for a smaller capacitance presents a challenge to electrostatic discharge (ESD) protection of the input due to its added capacitance.", "date": "2009-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "220-221", "id_number": "CaltechAUTHORS:20170329-154122508", "isbn": "978-1-4244-3458-9", "book_title": "2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170329-154122508", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2009.4977387", "primary_object": { "basename": "04977387.pdf", "url": "https://authors.library.caltech.edu/records/vsjam-mz969/files/04977387.pdf" }, "related_objects": [ { "basename": "V12_03.pdf", "url": "https://authors.library.caltech.edu/records/vsjam-mz969/files/V12_03.pdf" } ], "resource_type": "book_section", "pub_year": "2009", "author_list": "Wang, Yu-Jiu and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/n9xvh-5e098", "eprint_id": 18919, "eprint_status": "archive", "datestamp": "2023-08-20 00:48:41", "lastmod": "2023-10-20 19:11:42", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Kousai-S", "name": { "family": "Kousai", "given": "Shouhei" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An Octave-Range Watt-Level Fully Integrated CMOS Switching Power Mixer Array for Linearization and Back-Off Efficiency Improvement", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2009 IEEE. \n\nThe authors thank the members of CHIC group, Hamdi Mani of Caltech, Toshiba Corp., and Axiom Microdevice for chip fabrication.\n\nPublished - Kousai2009p10016Ieee_J_Solid-St_Circ.pdf
Supplemental Material - mm1.pdf
", "abstract": "In this paper, a wideband watt-level fully-integrated CMOS power amplifier (PA) for non-constant modulation been demonstrated. The output currents of sixteen power mixer cores are combined at their drains, where the non-constant envelope RF signal is regenerated.", "date": "2009-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "376-378", "id_number": "CaltechAUTHORS:20100707-095505835", "isbn": "978-1-4244-3458-9", "book_title": "IEEE International Solid-State Circuits Conference - Digest of Technical Papers, 2009. ISSCC 2009.", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100707-095505835", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2009.4977465", "primary_object": { "basename": "Kousai2009p10016Ieee_J_Solid-St_Circ.pdf", "url": "https://authors.library.caltech.edu/records/n9xvh-5e098/files/Kousai2009p10016Ieee_J_Solid-St_Circ.pdf" }, "related_objects": [ { "basename": "mm1.pdf", "url": "https://authors.library.caltech.edu/records/n9xvh-5e098/files/mm1.pdf" } ], "resource_type": "book_section", "pub_year": "2009", "author_list": "Kousai, Shouhei and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/zcpj0-06e93", "eprint_id": 14036, "eprint_status": "archive", "datestamp": "2023-08-21 20:33:02", "lastmod": "2023-10-18 16:03:41", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "David B." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Near-field direct antenna modulation", "ispublished": "pub", "full_text_status": "public", "keywords": "eavesdroppers; frequency 60 GHz; highly secured direction-dependent data; millimeter-wave; integrated antennas; near-field direct antenna modulation; proof-of-concept chip", "note": "\u00a9 2009 IEEE.\nPublication Date: February 2009.\nThe authors appreciate the help of Yu-Jiu Wang of the California Institute of Technology and support of the Lee Center for Advanced Networking at California Institute of Technology. The technical support from Cadence Design Systems for CAD tools and from Agilent Technologies, Zeland\nSoftware Inc., and Ansoft Corporation is also appreciated.\n\nPublished - Babakhani2009p36810.1109MMM.2008.930674.pdf
", "abstract": "NFDAM systems provide a unique solution for transmitting highly secured direction-dependent data and hence preventing eavesdroppers from properly demodulating the signal. A 60-GHz proof-of-concept chip was designed and measured.", "date": "2009-02", "date_type": "published", "publication": "IEEE Microwave Magazine", "volume": "10", "number": "1", "publisher": "IEEE", "pagerange": "36-46", "id_number": "CaltechAUTHORS:20090421-112006759", "issn": "1527-3342", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20090421-112006759", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/MMM.2008.930674", "primary_object": { "basename": "Babakhani2009p36810.1109MMM.2008.930674.pdf", "url": "https://authors.library.caltech.edu/records/zcpj0-06e93/files/Babakhani2009p36810.1109MMM.2008.930674.pdf" }, "resource_type": "article", "pub_year": "2009", "author_list": "Babakhani, Aydin; Rutledge, David B.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/vym7a-b3z76", "eprint_id": 18679, "eprint_status": "archive", "datestamp": "2023-08-20 00:19:56", "lastmod": "2023-10-20 16:39:34", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Chang-A-H", "name": { "family": "Chang", "given": "Arthur H." } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Near-Field Direct Antenna Modulation (NFDAM) transmitter at 2.4GHz", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2009 IEEE.\n\n", "abstract": "A near-field direct antenna modulation (NFDAM) transmitter at 2.4GHz is demonstrated on a microstrip setting. The transmitter is capable of transmitting information in a direction-dependent fashion by sending the correct signal constellation only in the desired direction while leaving the signal constellation points scrambled in undesired directions. This direction-dependent constellation scrambling nature of the NFDAM systems prevents undesired receivers to correctly demodulate the signal transmitted to a desired receiver at a specific direction.", "date": "2009", "date_type": "published", "publisher": "IEEE", "pagerange": "1980-1983", "id_number": "CaltechAUTHORS:20100615-093255945", "isbn": "978-1-4244-3646-0", "book_title": "Antennas and Propagation Society International Symposium, 2009", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100615-093255945", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "10800658", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/APS.2009.5171550", "primary_object": { "basename": "Chang2009p100072009_Ieee_Antennas_And_Propagation_Society_International_Symposium_And_UsncUrsi_National_Radio_Science_Meeting_Vols_1-6.pdf", "url": "https://authors.library.caltech.edu/records/vym7a-b3z76/files/Chang2009p100072009_Ieee_Antennas_And_Propagation_Society_International_Symposium_And_UsncUrsi_National_Radio_Science_Meeting_Vols_1-6.pdf" }, "resource_type": "book_section", "pub_year": "2009", "author_list": "Chang, Arthur H.; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/kedc2-jtg57", "eprint_id": 18661, "eprint_status": "archive", "datestamp": "2023-08-20 00:19:46", "lastmod": "2024-01-12 23:40:06", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "The Future of High Frequency Circuit Design", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2009 IEEE.\n\nThis work has been supported in part by Lee Center for Advanced Networking.\n\nThe author would like to particularly thank Dr. A. Babakhani, as\nwell as F. Bohn, A. Chang, K. Sengupta, S. Bowers, Dr. H. Wang,\nProf. D. B. Rutledge, Prof. S. Weinreb of Caltech and Dr. A. Natarajan,\nDr. I. Aoki, Dr. S. Kee, Dr. A. Komijani, Dr. X. Guan, Dr.\nY. Wang, Prof. H. Hashemi, Prof. J. Buckwalter, and Prof. E.\nAfshari formerly of Caltech for their numerous contribution to\nCaltech's mm-wave activities. We have benefitted from the support\nof Caltech's Lee Center for Advance Networking, Raytheon\nCompany, National Science Foundation, and DARPA Trusted\nFoundry Program.\nParts of this work appeared in [25] and [26] previously.\n\nPublished - Hajimiri2009p100232009_Proceedings_Of_Esscirc.pdf
", "abstract": "The cut-off wavelengths of integrated silicon transistors have\nexceeded the die sizes of the chips being fabricated with them.\nCombined with the ability to integrate billions of transistors on\nthe same die, this size-wavelength cross-over has produced a\nunique opportunity for a completely new class of holistic circuit\ndesign combining electromagnetics, device physics, circuits, and\ncommunication system theory in one place. In this paper, we discuss\nsome of these opportunities and their associated challenges\nin greater detail and provide a few of examples of how they can\nbe used in practice.", "date": "2009", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "45-52", "id_number": "CaltechAUTHORS:20100611-153236105", "isbn": "978-1-4244-4355-0", "book_title": "ESSCIRC 2009 : Proceedings of the 35th European Solid-State Circuits Conference, Athens, Greece, 14-18 September 2009", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100611-153236105", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech's Lee Center for Advance Networking" }, { "agency": "Raytheon Company" }, { "agency": "NSF" }, { "agency": "Defense Advanced Research Projects Agency (DARPA) Trusted Foundry Program" } ] }, "doi": "10.1109/ESSCIRC.2009.5325926", "primary_object": { "basename": "Hajimiri2009p100232009_Proceedings_Of_Esscirc.pdf", "url": "https://authors.library.caltech.edu/records/kedc2-jtg57/files/Hajimiri2009p100232009_Proceedings_Of_Esscirc.pdf" }, "resource_type": "book_section", "pub_year": "2009", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/31z59-nkp44", "eprint_id": 12931, "eprint_status": "archive", "datestamp": "2023-08-22 13:41:32", "lastmod": "2023-10-17 21:38:41", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aoki-I", "name": { "family": "Aoki", "given": "Ichiro" } }, { "id": "Kee-S-D", "name": { "family": "Kee", "given": "Scott" } }, { "id": "Magoon-R", "name": { "family": "Magoon", "given": "Rahul" } }, { "id": "Aparicio-R", "name": { "family": "Aparicio", "given": "Roberto" } }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Zachan-J", "name": { "family": "Zachan", "given": "Jeff" } }, { "id": "Hatcher-G", "name": { "family": "Hatcher", "given": "Geoff" } }, { "id": "McClymont-D", "name": { "family": "McClymont", "given": "Donald" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Fully-Integrated Quad-Band GSM/GPRS CMOS Power Amplifier", "ispublished": "pub", "full_text_status": "public", "keywords": "CMOSFET power amplifiers; CMOS RF; distributed active transformer (DAT); global system for mobile communications (GSM); power control; reliability", "note": "\u00a9 Copyright 2008 IEEE. Reprinted with permission. \n\nManuscript received April 12, 2008; revised June 19, 2008. Current version published December 10, 2008. \n\nThe authors would like to thank M. Johnson, V. Boyapati, C. Huynh, J. Kim, F. Carr, F. Jarrar, A. Kral, A. Mellati, J. Mehta, S. Martin, H. Wu, T. Wisler, S. Mezouari, D. Kang, T. Trinh, K. Kong, J. Huynh, D. Qiao, D. Hartman, I. Vitomirov, R. Chen, F. Roux, and M. Damgaard.\n\nPublished - AOKieeejssc08.pdf
", "abstract": "Concentric distributed active transformers (DAT) are used to implement a fully-integrated quad-band power amplifier (PA) in a standard 130 nm CMOS process. The DAT enables the power amplifier to integrate the input and output matching networks on the same silicon die. The PA integrates on-chip closed-loop power control and operates under supply voltages from 2.9 V to 5.5 V in a standard micro-lead-frame package. It shows no oscillations, degradation, or failures for over 2000 hours of operation with a supply of 6 V at 135\u00b0 under a VSWR of 15:1 at all phase angles and has also been tested for more than 2 million device-hours (with ongoing reliability monitoring) without a single failure under nominal operation conditions. It produces up to +35 dBm of RF power with power-added efficiency of 51%.", "date": "2008-12", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "43", "number": "12", "publisher": "IEEE", "pagerange": "2747-2758", "id_number": "CaltechAUTHORS:AOKieeejscc08", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AOKieeejscc08", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2008.2004870", "primary_object": { "basename": "AOKieeejssc08.pdf", "url": "https://authors.library.caltech.edu/records/31z59-nkp44/files/AOKieeejssc08.pdf" }, "resource_type": "article", "pub_year": "2008", "author_list": "Aoki, Ichiro; Kee, Scott; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/s6wg7-cwz33", "eprint_id": 12929, "eprint_status": "archive", "datestamp": "2023-08-22 13:41:22", "lastmod": "2023-10-17 21:38:36", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Jeon-Sanggeun", "name": { "family": "Jeon", "given": "Sanggeun" } }, { "id": "Wang-Yu-Jiu", "name": { "family": "Wang", "given": "Yu-Jiu" } }, { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Scalable 6-to-18 GHz Concurrent Dual-Band Quad-Beam Phased-Array Receiver in CMOS", "ispublished": "pub", "full_text_status": "public", "keywords": "CMOS; concurrent; large-scale phased arrays; multi-band; multi-beam; phased arrays; scalable; tritave", "note": "\u00a9 Copyright 2008 IEEE. Reprinted with permission. \n\nManuscript received April 17, 2008; revised June 24, 2008. Current version published December 10, 2008. This work was supported by the Office of Naval Research under Contract N00014-04-C-0588. \n\nThe authors would like to thank J. DeFalco, R. Healy, and J. Holley of the Raytheon Company, and H. Hashemi of the University of Southern California for valuable technical discussions.\n\nPublished - JEOieeejssc08.pdf
", "abstract": "This paper reports a 6-to-18 GHz integrated phased- array receiver implemented in 130-nm CMOS. The receiver is easily scalable to build a very large-scale phased-array system. It concurrently forms four independent beams at two different frequencies from 6 to 18 GHz. The nominal conversion gain of the receiver ranges from 16 to 24 dB over the entire band while the worst-case cross-band and cross-polarization rejections are achieved 48 dB and 63 dB, respectively. Phase shifting is performed in the LO path by a digital phase rotator with the worst-case RMS phase error and amplitude variation of 0.5\u00b0 and 0.4 dB, respectively, over the entire band. A four-element phased-array receiver system is implemented based on four receiver chips. The measured array patterns agree well with the theoretical ones with a peak-to-null ratio of over 21.5 dB.", "date": "2008-12", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "43", "number": "12", "publisher": "IEEE", "pagerange": "2660-2673", "id_number": "CaltechAUTHORS:JEOieeejssc08", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:JEOieeejssc08", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research", "grant_number": "N00014-04-C-0588" } ] }, "doi": "10.1109/JSSC.2008.2004863", "primary_object": { "basename": "JEOieeejssc08.pdf", "url": "https://authors.library.caltech.edu/records/s6wg7-cwz33/files/JEOieeejssc08.pdf" }, "resource_type": "article", "pub_year": "2008", "author_list": "Jeon, Sanggeun; Wang, Yu-Jiu; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/v7p5x-8v926", "eprint_id": 12932, "eprint_status": "archive", "datestamp": "2023-08-22 13:41:36", "lastmod": "2023-10-17 21:38:43", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Keehr-E-A", "name": { "family": "Keehr", "given": "Edward A." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Equalization of Third-Order Intermodulation Products in Wideband Direct Conversion Receivers", "ispublished": "pub", "full_text_status": "public", "keywords": "Adaptive equalization; adaptive signal processing; digital linearization; feedforward equalization; mixed-signal linearization; nonlinear circuits; postdistortion; RF receivers; system-level linearization; wireless communications", "note": "\u00a9 Copyright 2008 IEEE. Reprinted with permission. \n\nManuscript received April 07, 2008; revised August 06, 2008. Current version published December 10, 2008. This work was supported in part by an NDSEG Fellowship and by the Lee Center for Advanced Networking. \n\nThe authors would like to thank F. Bohn for the frequency divider IP and testing assistance from H. Mani and J. Yoo, all of Caltech. They would also like to thank Prof. A. Emami, Prof. B. Hassibi, Prof. V. Pedroni, H. Wang, Y. Wang, F. Bohn, S. Jeon, A. Babakhani, J. Chen, and M. Loh of Caltech, and S. Kousai of Toshiba for their help and advice.\n\nPublished - KEEieeejssc08.pdf
", "abstract": "This paper reports a SAW-less direct-conversion receiver which utilizes a mixed-signal feedforward path to regenerate and adaptively cancel IM3 products, thus accomplishing system-level linearization. The receiver system performance is dominated by a custom integrated RF front end implemented in 130-nm CMOS and achieves an uncorrected out-of-band IIP3 of -7.1 dBm under the worst-case UMTS FDD Region 1 blocking specifications. Under IM3 equalization, the receiver achieves an effective IIP3 of +5.3 dBm and meets the UMTS BER sensitivity requirement with 3.7 dB of margin.", "date": "2008-12", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "43", "number": "12", "publisher": "IEEE", "pagerange": "2853-2867", "id_number": "CaltechAUTHORS:KEEieeejssc08", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:KEEieeejssc08", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Department of Defense" }, { "agency": "Lee Center for Advanced Networking, Caltech" } ] }, "doi": "10.1109/JSSC.2008.2005701", "primary_object": { "basename": "KEEieeejssc08.pdf", "url": "https://authors.library.caltech.edu/records/v7p5x-8v926/files/KEEieeejssc08.pdf" }, "resource_type": "article", "pub_year": "2008", "author_list": "Keehr, Edward A. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/76wk5-85j38", "eprint_id": 12930, "eprint_status": "archive", "datestamp": "2023-08-22 13:41:27", "lastmod": "2023-10-17 21:38:38", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "David B." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Transmitter Architectures Based on Near-Field Direct Antenna Modulation", "ispublished": "pub", "full_text_status": "public", "keywords": "BiCMOS; CMOS switch; dielectric lens; dipole; integrated antennas; integrated circuits; modulation; phased-array; reflector; secure communication; silicon germanium; surface-wave; transmitter; varactor", "note": "\u00a9 Copyright 2008 IEEE. Reprinted with permission. \n\nManuscript received April 15, 2008; revised June 25, 2008. Current version published December 10, 2008. \n\nThe authors thank Y.-J. Wang for assistance in designing the digital blocks. They also appreciate the support of the Lee Center for Advanced Networking, DARPA's trusted foundry program, and valuable help from J. Bardin, E. Keehr, and J. Yoo. The technical support for CAD tools from Cadence Design Systems, Agilent Technologies, Zeland Software Inc., and Ansoft Corporation is also appreciated.\n\nPublished - BABieeejssc08.pdf
", "abstract": "A near-field direct antenna modulation (NFDAM) technique is introduced, where the radiated far-field signal is modulated by time-varying changes in the antenna near-field electromagnetic (EM) boundary conditions. This enables the transmitter to send data in a direction-dependent fashion producing a secure communication link. Near-field direct antenna modulation (NFDAM) can be performed by using either switches or varactors. Two fully-integrated proof-of-concept NFDAM transmitters operating at 60 GHz using switches and varactors are demonstrated in silicon proving the feasibility of this approach.", "date": "2008-12", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "43", "number": "12", "publisher": "IEEE", "pagerange": "2674-2692", "id_number": "CaltechAUTHORS:BABieeejssc08", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BABieeejssc08", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Lee Center for Advanced Networking ,Caltech" }, { "agency": "Defense Advanced Research Planning Agency" } ] }, "doi": "10.1109/JSSC.2008.2004864", "primary_object": { "basename": "BABieeejssc08.pdf", "url": "https://authors.library.caltech.edu/records/76wk5-85j38/files/BABieeejssc08.pdf" }, "resource_type": "article", "pub_year": "2008", "author_list": "Babakhani, Aydin; Rutledge, David B.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/z0462-av716", "eprint_id": 75706, "eprint_status": "archive", "datestamp": "2023-08-19 23:43:42", "lastmod": "2023-10-25 15:13:58", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Keehr-E-A", "name": { "family": "Keehr", "given": "Edward A." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Digitally-Assisted Linearization of Wideband Direct Conversion Receivers", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2008 IEEE. \n\nThe authors thank F. Bohn for the frequency divider IP and H. Mani and J. Yoo for testing assistance. This project was supported by an NDSEG fellowship and the Lee Center for Advanced Networking.\n\nPublished - 04772253.pdf
", "abstract": "A SAW-less direct-conversion receiver is presented which utilizes a mixed-signal feedforward path to regenerate and equalize IM3 products, thus accomplishing system-level linearization. The receiver system performance is dominated by a custom integrated front end realized in 130nm CMOS and achieves an uncorrected out-of-band IIP3 of -7.1dBm under the worst-case UMTS FDD Region 1 blocking specifications. IM3 equalization results in an effective IIP3 of +5.3dBm and reduces total input-referred error by over 23dB.", "date": "2008-10", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "159-162", "id_number": "CaltechAUTHORS:20170404-163909751", "isbn": "978-2-87487-007-1", "book_title": "Proceedings of the 3rd European Microwave Integrated Circuit Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170404-163909751", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "National Defense Science and Engineering Graduate (NDSEG) Fellowship" }, { "agency": "Caltech Lee Center for Advanced Networking" } ] }, "doi": "10.1109/EMICC.2008.4772253", "primary_object": { "basename": "04772253.pdf", "url": "https://authors.library.caltech.edu/records/z0462-av716/files/04772253.pdf" }, "resource_type": "book_section", "pub_year": "2008", "author_list": "Keehr, Edward A. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/85zj7-6yq66", "eprint_id": 12128, "eprint_status": "archive", "datestamp": "2023-08-22 12:52:42", "lastmod": "2023-10-17 16:32:48", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Afshari-E", "name": { "family": "Afshari", "given": "Ehsan" } }, { "id": "Bhat-H-S", "name": { "family": "Bhat", "given": "Harish S." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Ultrafast Analog Fourier Transform Using 2-D LC Lattice", "ispublished": "pub", "full_text_status": "public", "keywords": "Discrete Fourier transforms, lattice circuits, physical theory of diffraction, planar transmission lines, ultra-fast analog signal processing", "note": "\u00a9 Copyright 2008 IEEE. Reprinted with permission. \n\nManuscript received December 9, 2006; revised. First published February 8, 2008; current version published September 17, 2008. This paper was recommended by Associate Editor A. G. Andreou. \n\nThe authors would like to thank A. Komijani, M. Taghivand, and F. Bohn, California Institute of Technology, Pasadena, and B. Osting, Columbia University, New York, NY, for helpful discussions regarding this work.\n\nPublished - AFSieeetcasI08.pdf
", "abstract": "We describe how a 2-D rectangular lattice of inductors and capacitors can serve as an analog Fourier transform device, generating an approximate discrete Fourier transform (DFT) of an arbitrary input vector of fixed length. The lattice displays diffractive and refractive effects and mimics the combined optical effects of a thin-slit aperture and lens. Diffraction theories in optics are usually derived for 3-D media, whereas our derivations proceed in 2-D. Analytical and numerical results show agreement between lattice output and the true DFT. Potentially, this lattice can be used for an extremely low latency and high throughput analog signal processing device. The lattice can be fabricated on-chip with frequency of operation of more than 10 GHz.", "date": "2008-09", "date_type": "published", "publication": "IEEE Transactions on Circuits and Systems I: Regular Papers", "volume": "55", "number": "8", "publisher": "IEEE", "pagerange": "2332-2343", "id_number": "CaltechAUTHORS:AFSieeetcasI08", "issn": "1549-8328", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AFSieeetcasI08", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TCSI.2008.918151", "primary_object": { "basename": "AFSieeetcasI08.pdf", "url": "https://authors.library.caltech.edu/records/85zj7-6yq66/files/AFSieeetcasI08.pdf" }, "resource_type": "article", "pub_year": "2008", "author_list": "Afshari, Ehsan; Bhat, Harish S.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/r9vds-01696", "eprint_id": 18255, "eprint_status": "archive", "datestamp": "2023-08-22 12:31:26", "lastmod": "2023-10-20 15:54:39", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Natarajan-A-S", "name": { "family": "Natarajan", "given": "Arun S." } }, { "id": "Jeon-Sanggeun", "name": { "family": "Jeon", "given": "Sanggeun" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Fully Integrated Frequency and Phase Generation for a 6-18GHz Tunable Multi-Band Phased-Array Receiver in CMOS", "ispublished": "unpub", "full_text_status": "public", "keywords": "Array signal processing; CMOS; frequency synthesizers; phase control; phase locked loops; phase noise; phased arrays; radio receivers", "note": "\u00a9 2008 IEEE.\n\nIssue Date: June 17 2008-April 17 2008; Date of Current Version: 15 July 2008.\n\nThe authors would like to thank ONR and Raytheon for\ntheir support, in particular John DeFalco, Richard Healy\nand Mike Sarcione for valuable feedback. This work was\nsupported by ONR award N00014-04-C-0588.\n\nPublished - Bohn2008p84972008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf
", "abstract": "Fully integrated frequency-phase generators\nfor a 6-18GHz wide-band phased-array receiver element are\npresented that generate 5-7GHz and 9-12GHz first LO\nsignals with less than -95dBc/Hz phase noise at 100kHz\noffset. Second LO signals with digitally controllable fourquadrant phase- and amplitude spread with better than 3\u00b0\nresolution are generated and allow removal of systematic\nreference clock skew as well as accurate selection of the\nreceived signal phase. This frequency- and phase generation\nscheme was successfully demonstrated in a 6-18GHz receiver\nsystem configured as an electrical 4-element array.", "date": "2008-07-15", "date_type": "published", "publisher": "IEEE", "pagerange": "439-442", "id_number": "CaltechAUTHORS:20100512-105740056", "isbn": "978-1-4244-1808-4", "book_title": "Radio Frequency Integrated Circuits Symposium, 2008", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100512-105740056", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-04-C-0588" } ] }, "other_numbering_system": { "items": [ { "id": "10103408", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/RFIC.2008.4561472", "primary_object": { "basename": "Bohn2008p84972008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf", "url": "https://authors.library.caltech.edu/records/r9vds-01696/files/Bohn2008p84972008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf" }, "resource_type": "book_section", "pub_year": "2008", "author_list": "Bohn, Florian; Wang, Hua; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ge4ns-hkc84", "eprint_id": 18776, "eprint_status": "archive", "datestamp": "2023-08-19 23:00:01", "lastmod": "2024-01-12 23:40:43", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "(Invited) mm-wave silicon ICs: An opportunity for holistic design", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2008 IEEE.\n\nIssue Date: June 17 2008-April 17 2008; Date of Current Version: 15 July 2008.\n\nThe author thanks the contributions of A. Babakhani, Y. Wang,\nand H. Wang, Prof. D. B. Rutledge, Prof. S. Weinreb of Caltech and Dr. A. Natarajan, Dr. I. Aoki, Dr. S. Kee, Dr. A. Komijani, Dr. X. Guan, Prof. H. Hashemi, Prof. J. Buckwalter, and Prof. E.\nAfshari formerly of Caltech for the numerous contribution to\nCaltech's mm-wave activities. We have benefitted from the support\nof Caltech's Lee Center for Advance Networking, National\nScience Foundation, and DARPA Trusted Foundry Program.\n\nPublished - Hajimiri2008p85852008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf
", "abstract": "Millimeter-waves integrated circuits offer a unique opportunity for a holistic design approach encompassing RF, analog, and digital, as well as radiation and electromagnetics. The ability to deal with the complete system from the digital circuitry to on-chip antennas and everything in between offers unparalleled opportunities for completely new architectures and topologies, previously impossible due the traditional partitioning of various blocks in conventional design. This opens a plethora of new architectural and system level innovation within the integrated circuit platform. This paper reviews some of the challenges and opportunities for mm-wave ICs and presents several solutions to them.", "date": "2008-07", "date_type": "published", "publisher": "IEEE", "pagerange": "357-360", "id_number": "CaltechAUTHORS:20100623-113521881", "isbn": "978-1-4244-1808-4", "book_title": "2008 IEEE Radio Frequency Integrated Circuits Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100623-113521881", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech's Lee Center for Advanced Networking" }, { "agency": "NSF" }, { "agency": "Defense Advanced Research Projects Agency (DARPA) Trusted Foundry Program" } ] }, "other_numbering_system": { "items": [ { "id": "10103390", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/RFIC.2008.4561453", "primary_object": { "basename": "Hajimiri2008p85852008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf", "url": "https://authors.library.caltech.edu/records/ge4ns-hkc84/files/Hajimiri2008p85852008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf" }, "resource_type": "book_section", "pub_year": "2008", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hxrdz-nx558", "eprint_id": 82936, "eprint_status": "archive", "datestamp": "2023-08-19 22:52:11", "lastmod": "2023-10-17 22:47:05", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Feng-X-L", "name": { "family": "Feng", "given": "X. L." } }, { "id": "White-C-J", "name": { "family": "White", "given": "C. J." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" }, { "id": "Roukes-M-L", "name": { "family": "Roukes", "given": "M. L." }, "orcid": "0000-0002-2916-6026" } ] }, "title": "A self-sustaining ultrahigh-frequency nanoelectromechanical oscillator", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 2008 Nature Publishing Group. \n\nReceived: 14 February 2008; Accepted: 16 April 2008; Published online: 25 May 2008. \n\nWe thank C.T.C. Nguyen, J.R. Vig, M.C. Cross and R. Lifshitz for helpful discussions. We thank M. Mehregany and C.A. Zorman for providing SiC material. We acknowledge support from DARPA/SPAWAR under grant N66001-02-1-8914.\n\nSupplemental Material - nnano.2008.125_S1.pdf
", "abstract": "Sensors based on nanoelectromechanical systems vibrating at high and ultrahigh frequencies are capable of levels of performance that surpass those of larger sensors. Nanoelectromechanical devices have achieved unprecedented sensitivity in the detection of displacement, mass, force and charge. To date, these milestones have been achieved with passive devices that require external periodic or impulsive stimuli to excite them into resonance. Here, we demonstrate an autonomous and self-sustaining nanoelectromechanical oscillator that generates continuous ultrahigh-frequency signals when powered by a steady d.c. source. The frequency-determining element in the oscillator is a 428 MHz nanoelectromechanical resonator that is embedded within a tunable electrical feedback network to generate active and stable self-oscillation. Our prototype nanoelectromechanical oscillator exhibits excellent frequency stability, linewidth narrowing and low phase noise performance. Such ultrahigh-frequency oscillators provide a comparatively simple means for implementing a wide variety of practical sensing applications. They also offer intriguing opportunities for nanomechanical frequency control, timing and synchronization.", "date": "2008-06", "date_type": "published", "publication": "Nature Nanotechnology", "volume": "3", "number": "6", "publisher": "Nature Publishing Group", "pagerange": "342-346", "id_number": "CaltechAUTHORS:20171103-120941255", "issn": "1748-3387", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20171103-120941255", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Defense Advanced Research Projects Agency (DARPA)", "grant_number": "N66001-02-1-8914" } ] }, "doi": "10.1038/nnano.2008.125", "primary_object": { "basename": "nnano.2008.125_S1.pdf", "url": "https://authors.library.caltech.edu/records/hxrdz-nx558/files/nnano.2008.125_S1.pdf" }, "resource_type": "article", "pub_year": "2008", "author_list": "Feng, X. L.; White, C. J.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ytbta-gfp83", "eprint_id": 75761, "eprint_status": "archive", "datestamp": "2023-08-19 22:51:33", "lastmod": "2023-10-25 15:16:59", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Jeon-Sanggeun", "name": { "family": "Jeon", "given": "Sanggeun" } }, { "id": "Wang-Yu-Jiu", "name": { "family": "Wang", "given": "Yu-Jiu" } }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A tunable concurrent 6-to-18 GHz phased-array system in CMOS", "ispublished": "unpub", "full_text_status": "public", "keywords": "Array signal processing, beam steering, CMOS integrated circuits, interference suppression, phased-array radars", "note": "\u00a9 2008 IEEE. \n\nThe authors would like to thank E. Keehr and J. Yoo of the California Institute of Technology, and J. DeFalco, R. Healy, and M. Sarcione of Raytheon for their technical discussions. The authors would also like to acknowledge Office of Naval Research for the support of this work through contract #N00014-04-C-0588.\n\nPublished - 04632925.pdf
", "abstract": "This paper presents a scalable phased-array receiver system that covers a tritave bandwidth of 6-to-18 GHz implemented in a 130nm CMOS process. The single receiver element with a 10-bit phase shifting resolution achieves a maximum phase error of 2.5\u00b0 within a baseband amplitude variation of 1.5dB for an arbitrary target angle. This dense interpolation provides excellent phase error/offset calibration capability in the array. A 4-element electrical array pattern is measured at 6 GHz, 13.5 GHz and 18 GHz, showing a worst case peak-to-null ratio of 21.5dB. The EVM and phase noise improvements of the array compared with the single receiver element are also shown.", "date": "2008-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "687-690", "id_number": "CaltechAUTHORS:20170405-171251898", "isbn": "978-1-4244-1780-3", "book_title": "2008 IEEE MTT-S International Microwave Symposium Digest", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170405-171251898", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-04-C-0588" } ] }, "doi": "10.1109/MWSYM.2008.4632925", "primary_object": { "basename": "04632925.pdf", "url": "https://authors.library.caltech.edu/records/ytbta-gfp83/files/04632925.pdf" }, "resource_type": "book_section", "pub_year": "2008", "author_list": "Wang, Hua; Jeon, Sanggeun; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/y9qeg-hr254", "eprint_id": 75526, "eprint_status": "archive", "datestamp": "2023-08-19 22:06:23", "lastmod": "2023-10-25 15:07:02", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "David B." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Near-Field Modulation Technique Using Antenna Reflector Switching", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2008 IEEE.\n\nPublished - 04523120.pdf
Supplemental Material - V09_05nn.pdf
", "abstract": "This paper presents a near-field reflector switching technique that can generate independently controlled modulated signals for sufficiently different angles of radiation. This technique can be used either to transmit different data in different directions simultaneously, or to generate the correct signal constellation only in the desired direction and scrambled ones for other angles, creating a secure communication link. This approach is also conducive to power-efficient switching PAs, even for wideband non-constant envelope modulation schemes, enabling fast and power-efficient transmitter architectures.", "date": "2008-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "188-189+605", "id_number": "CaltechAUTHORS:20170329-155930785", "isbn": "978-1-4244-2010-0", "book_title": "2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170329-155930785", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2008.4523120", "primary_object": { "basename": "04523120.pdf", "url": "https://authors.library.caltech.edu/records/y9qeg-hr254/files/04523120.pdf" }, "related_objects": [ { "basename": "V09_05nn.pdf", "url": "https://authors.library.caltech.edu/records/y9qeg-hr254/files/V09_05nn.pdf" } ], "resource_type": "book_section", "pub_year": "2008", "author_list": "Babakhani, Aydin; Rutledge, David B.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/9w5f8-geq18", "eprint_id": 76648, "eprint_status": "archive", "datestamp": "2023-08-19 22:06:42", "lastmod": "2023-10-25 16:10:59", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Jeon-Sanggeun", "name": { "family": "Jeon", "given": "Sanggeun" } }, { "id": "Wang-Yu-Jiu", "name": { "family": "Wang", "given": "Yu-Jiu" } }, { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Scalable 6-to-18GHz Concurrent Dual-Band Quad-Beam Phased-Array Receiver in CMOS", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2008 IEEE. \n\nThis work was supported by ONR's award #N00014-04-C-0588. We thank ONR and Raytheon, particularly J. DeFalco and R. Healy for their helpful feedback.\n\nPublished - 04523119.pdf
Presentation - V09_04nn.pdf
", "abstract": "This paper describes the general architecture and the signal-path behavior of a CMOS programmable phased-array receiver element that simultaneously operates at two frequencies between 6 and 18GHz (a tritave) while forming four independently controlled beams.", "date": "2008-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "186-605", "id_number": "CaltechAUTHORS:20170418-173743211", "isbn": "978-1-4244-2010-0", "book_title": "2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170418-173743211", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-04-C-0588" } ] }, "doi": "10.1109/ISSCC.2008.4523119", "primary_object": { "basename": "V09_04nn.pdf", "url": "https://authors.library.caltech.edu/records/9w5f8-geq18/files/V09_04nn.pdf" }, "related_objects": [ { "basename": "04523119.pdf", "url": "https://authors.library.caltech.edu/records/9w5f8-geq18/files/04523119.pdf" } ], "resource_type": "book_section", "pub_year": "2008", "author_list": "Jeon, Sanggeun; Wang, Yu-Jiu; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7v7jz-vyd97", "eprint_id": 75708, "eprint_status": "archive", "datestamp": "2023-08-19 22:06:30", "lastmod": "2023-10-25 15:14:03", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Keehr-E-A", "name": { "family": "Keehr", "given": "Edward" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Equalization of IM3 Products in Wideband Direct-Conversion Receivers", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2008 IEEE. \n\nThe authors thank F. Bohn for the frequency divider IP and H. Mani and J. Yoo for testing assistance. This work was supported by an NDSEG Fellowship and the Lee Center for Advanced Networking.\n\nPublished - 04523128.pdf
Presentation - V10_03nn.pdf
", "abstract": "The wideband direct-conversion receiver architecture is proposed in this paper. In order to provide a quantitative design objective, the UMTS standard is targeted. The single-ended-to-differential conversion previously handled by an inter-stage SAW filter is now performed by a balun. The balun is followed by high-P2 MP mixers driven by Cherry-Hooper LO buffers. The MP BB filter is an active-RC 3 rd-order Chebyshev architecture that drives an 8b pipelined ADC with fs=50 MHz. The AP is a scaled-down version of the MP, with the primary difference being the inclusion of an IM3 generator. As scaling reduces the breakdown voltage of CMOS devices and as system integration trends demand the further elimination of off- chip components, there arises a great need to improve the linearity of RF receivers.", "date": "2008-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "204-607", "id_number": "CaltechAUTHORS:20170404-173623505", "isbn": "978-1-4244-2010-0", "book_title": "2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170404-173623505", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "National Defense Science and Engineering Graduate (NDSEG) Fellowship" }, { "agency": "Caltech Lee Center for Advanced Networking" } ] }, "doi": "10.1109/ISSCC.2008.4523128", "primary_object": { "basename": "04523128.pdf", "url": "https://authors.library.caltech.edu/records/7v7jz-vyd97/files/04523128.pdf" }, "related_objects": [ { "basename": "V10_03nn.pdf", "url": "https://authors.library.caltech.edu/records/7v7jz-vyd97/files/V10_03nn.pdf" } ], "resource_type": "book_section", "pub_year": "2008", "author_list": "Keehr, Edward and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7t670-1eg88", "eprint_id": 20018, "eprint_status": "archive", "datestamp": "2023-08-19 22:02:38", "lastmod": "2023-10-20 22:08:39", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hassibi-A", "name": { "family": "Hassibi", "given": "Arjang" } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Spectral-Scanning Magnetic Resonance Imaging (MRI) Integrated System", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2007 IEEE.\n\nPublished - Hassibi2007p8582Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf
", "abstract": "An integrated spectral-scanning magnetic\nresonance imaging (MRI) technique is implemented in a\n0.12\u03bcm SiGe BiCMOS process. This system is designed for\nsmall-scale MRI applications with non-uniform and low\nmagnetic fields. The system is capable of generating\ncustomized magnetic resonance (MR) excitation signals, and\nalso recovering the MR response using a coherent direct\nconversion receiver. The operation frequency is tunable from\nDC to 37MHz for wide-band MRI and up to 250MHz for\nnarrow-band MR spectroscopy.", "date": "2008-01-21", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "123-126", "id_number": "CaltechAUTHORS:20100917-135128884", "isbn": "978-1-4244-0786-6", "book_title": "Proceedings of the IEEE 2007 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100917-135128884", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "9803929", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2007.4405695", "primary_object": { "basename": "Hassibi2007p8582Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf", "url": "https://authors.library.caltech.edu/records/7t670-1eg88/files/Hassibi2007p8582Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf" }, "resource_type": "book_section", "pub_year": "2008", "author_list": "Hassibi, Arjang; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/0rkxr-2q152", "eprint_id": 19176, "eprint_status": "archive", "datestamp": "2023-08-22 10:48:32", "lastmod": "2023-10-20 20:05:33", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Y-J", "name": { "family": "Wang", "given": "Yu-Jiu" } }, { "id": "Jeon-S", "name": { "family": "Jeon", "given": "Sanggeun" } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 6-to-18 GHz tunable concurrent dual-band receiver front end for scalable phased arrays in 130nm CMOS", "ispublished": "unpub", "full_text_status": "public", "keywords": "Concurrent; dual-band; low-noise amplifier; receiver architecture; phased array", "note": "\u00a9 2008 IEEE.\n\nIssue Date: June 17 2008-April 17 2008; Date of Current Version: 15 July 2008.\n\nThe authors would like to thank H. Wang, F. Bohn, A.\nNatarajan and E. Keehr of the California Institute of\nTechnology, and J. DeFalco, R. Healy, and M. Sarcione of\nRaytheon for their technical discussions. The authors\nwould also like to acknowledge Office of Naval Research\nfor the support under contract N00014-04-C-0588.\n\nPublished - Wang2008p84522008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf
", "abstract": "This paper presents a study and design of tunable concurrent dual-band receiver. Different system architectures and building blocks have been compared and analyzed. A tunable concurrent dual-band receiver front end has then been fabricated and characterized. It operates across a tri-tave 6-18 GHz bandwidth with a nominal 17-25 dB conversion gain, worst-case -15 dBm IIP3, and worst-case -24.5 dBm ICP 1 dB.", "date": "2008", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "343-346", "id_number": "CaltechAUTHORS:20100723-095124886", "isbn": "978-1-4244-1808-4", "book_title": "IEEE Radio Frequency Integrated Circuits Symposium, 2008", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100723-095124886", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research", "grant_number": "N00014-04-C-0588" } ] }, "other_numbering_system": { "items": [ { "id": "10103387", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/RFIC.2008.4561450", "primary_object": { "basename": "Wang2008p84522008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf", "url": "https://authors.library.caltech.edu/records/0rkxr-2q152/files/Wang2008p84522008_Ieee_Radio_Frequency_Integrated_Circuits_Symposium_Vols_1_And_2.pdf" }, "resource_type": "book_section", "pub_year": "2008", "author_list": "Wang, Yu-Jiu; Jeon, Sanggeun; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/59h74-t7p46", "eprint_id": 19868, "eprint_status": "archive", "datestamp": "2023-08-19 20:51:26", "lastmod": "2024-01-13 00:00:37", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "mm-Wave Silicon ICs: Challenges and Opportunities", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2007 IEEE.\n\nIssue Date: 16-19 Sept. 2007; Date of Current Version: 21 January 2008.\n\nThe author would like to thank the contributions of A. Babakhani,\nA. Natarajan, Y. Wang, and H. Wang of Caltech and Dr. A.\nKomijani, Dr. X. Guan, Prof. H. Hashemi, Prof. J. Buckwalter\nformerly of Caltech for the numerous contribution to Caltech's\nmm-wave activity. The authors also would like to thank E. Keehr,\nF. Bohn, and A. Babakhani for helpful comments on this manuscript.\nWe have benefitted from the support of Caltech's Lee Center\nfor Advance Networking, National Science Foundation, and\nDARPA Trusted Foundry Program.\n\nPublished - Hajimiri2007p8523Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf
", "abstract": "Millimeter-waves offer promising opportunities and interesting challenges to silicon integrated circuit and system designers. These challenges go beyond standard circuit design questions and span a broader range of topics including wave propagation, antenna design, and communication channel capacity limits. It is only meaningful to evaluate the benefits and shortcoming of silicon-based mm-wave integrated circuits in this broader context. This paper reviews some of these issues and presents several solutions to them.", "date": "2007-09", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "741-747", "id_number": "CaltechAUTHORS:20100910-112239498", "isbn": "978-1-4244-0786-6", "book_title": "Proceedings of the IEEE 2007 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100910-112239498", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Lee Center for Advanced Networking" }, { "agency": "NSF" }, { "agency": "Defense Advanced Research Projects Agency (DARPA) Trusted Foundry Program" } ] }, "other_numbering_system": { "items": [ { "id": "9811011", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2007.4405837", "primary_object": { "basename": "Hajimiri2007p8523Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf", "url": "https://authors.library.caltech.edu/records/59h74-t7p46/files/Hajimiri2007p8523Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf" }, "resource_type": "book_section", "pub_year": "2007", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/8vcv1-ebz23", "eprint_id": 8186, "eprint_status": "archive", "datestamp": "2023-08-22 09:27:31", "lastmod": "2023-10-16 21:10:00", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hassibi-A", "name": { "family": "Hassibi", "given": "Arjang" } }, { "id": "Vikalo-H", "name": { "family": "Vikalo", "given": "Haris" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "On noise processes and limits of performance in biosensors", "ispublished": "pub", "full_text_status": "public", "keywords": "biochemistry; molecular biophysics; Markov processes; mass transfer; fluctuations; noise; biosensors", "note": "\u00a92007 American Institute of Physics \n\n(Received 11 January 2007; accepted 4 May 2007; published online 11 July 2007)", "abstract": "In this paper, we present a comprehensive stochastic model describing the measurement uncertainty, output signal, and limits of detection of affinity-based biosensors. The biochemical events within the biosensor platform are modeled by a Markov stochastic process, describing both the probabilistic mass transfer and the interactions of analytes with the capturing probes. To generalize this model and incorporate the detection process, we add noisy signal transduction and amplification stages to the Markov model. Using this approach, we are able to evaluate not only the output signal and the statistics of its fluctuation but also the noise contributions of each stage within the biosensor platform. Furthermore, we apply our formulations to define the signal-to-noise ratio, noise figure, and detection dynamic range of affinity-based biosensors. Motivated by the platforms encountered in practice, we construct the noise model of a number of widely used systems. The results of this study show that our formulations predict the behavioral characteristics of affinity-based biosensors which indicate the validity of the model.", "date": "2007-07-01", "date_type": "published", "publication": "Journal of Applied Physics", "volume": "102", "number": "1", "publisher": "Journal of Applied Physics", "pagerange": "Art. No. 014909", "id_number": "CaltechAUTHORS:HASjap07", "issn": "0021-8979", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HASjap07", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1063/1.2748624", "primary_object": { "basename": "HASapl07.pdf", "url": "https://authors.library.caltech.edu/records/8vcv1-ebz23/files/HASapl07.pdf" }, "resource_type": "article", "pub_year": "2007", "author_list": "Hassibi, Arjang; Vikalo, Haris; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/50d8c-p4g50", "eprint_id": 76706, "eprint_status": "archive", "datestamp": "2023-08-19 20:22:51", "lastmod": "2023-10-25 16:44:03", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "A." } }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "D. B." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "mm-wave phased arrays in silicon with integrated antennas", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2007 IEEE.\n\nPublished - 04396510.pdf
", "abstract": "This work demonstrates W-band integrated dipole antennas and a four channel phased transceiver implemented in IBM 130 nm silicon germanium BiCMOS process. The chip includes the complete receiver, transmitter, signal generation blocks, phase shifters, and on-chip dipole antennas. A hemispherical silicon lens with diameter of about one inch is also used to remove the substrate modes. Measurement results show a maximum antenna gain of about +8 dB.", "date": "2007-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "4369-4372", "id_number": "CaltechAUTHORS:20170419-140611625", "isbn": "978-1-4244-0877-1", "book_title": "2007 IEEE Antennas and Propagation International Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20170419-140611625", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "doi": "10.1109/APS.2007.4396510", "primary_object": { "basename": "04396510.pdf", "url": "https://authors.library.caltech.edu/records/50d8c-p4g50/files/04396510.pdf" }, "resource_type": "book_section", "pub_year": "2007", "author_list": "Babakhani, A.; Rutledge, D. B.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/f0x0c-byt70", "eprint_id": 7548, "eprint_status": "archive", "datestamp": "2023-08-22 08:24:21", "lastmod": "2023-10-16 20:58:12", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Mandegaran-S", "name": { "family": "Mandegaran", "given": "Sam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Breakdown Voltage Multiplier for High Voltage Swing Drivers", "ispublished": "pub", "full_text_status": "public", "keywords": "Breakdown voltage (BV), breakdown voltage doubler, BV-Doubler, breakdown voltage multiplier, BV-multiplier, driver, optical modulator driver, SiGe.", "note": "\u00a9 Copyright 2007 IEEE. Reprinted with permission. \n\nManuscript received January 19, 2005; revised August 8, 2006. [Posted online: 2007-01-29] \n\nThe authors would like to acknowledge IBM Corporation for chip fabrication. They would also like to thank B. Analui, J. Buckwalter, H. Hashemi, A. Komijani, and A. Natarajan for valuable technical discussion.", "abstract": "A novel breakdown voltage (BV) multiplier is introduced that makes it possible to generate high output voltage swings using transistors with low breakdown voltages. The timing analysis of the stage is used to optimize its dynamic response. A 10 Gb/s optical modulator driver with a differential output voltage swing of 8 V on a 50 \u03a9 load was implemented in a SiGe BiCMOS process. It uses the BV-Doubler topology to achieve output swings twice the collector\u2013emitter breakdown voltage without stressing any single transistor.", "date": "2007-02-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "42", "number": "2", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "302-312", "id_number": "CaltechAUTHORS:MANieeejssc07", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:MANieeejssc07", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2006.889390", "primary_object": { "basename": "MANieeejssc07.pdf", "url": "https://authors.library.caltech.edu/records/f0x0c-byt70/files/MANieeejssc07.pdf" }, "resource_type": "article", "pub_year": "2007", "author_list": "Mandegaran, Sam and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2db4p-t4m59", "eprint_id": 20604, "eprint_status": "archive", "datestamp": "2023-08-19 19:14:10", "lastmod": "2024-01-13 00:05:26", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-Hua", "name": { "family": "Wang", "given": "Hua" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Wideband CMOS Linear Digital Phase Rotator", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2007 IEEE. \nIssue Date: 16-19 Sept. 2007.\nDate of Current Version: 21 January 2008.\n\nThe authors would like to thank H. Mani for his assistance in fabricating the testing module, and A. Natarajan, Y. Wang, F. Bohn, and S. Jeon of the California Institute of Technology, and A. Komijani of Rambus for their technical discussions. The authors would also like to acknowledge Office of Naval Research for the support.\n\nPublished - Wang2007p8845Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf
", "abstract": "This paper presents a 10-bit wideband Cartesian phase\nrotator with a novel linear digital VGA implemented in a 0.13um\nCMOS process. The VGA topology is robust to device modeling\nuncertainties and PVT variations. The system provides 7.8dB\nvoltage gain with -3dB bandwidth of 7.6GHz. A maximum phase\nerror of 2\u00ba has been achieved for a phase shifting range of\n360\u00ba with 32 phase steps of 11.25\u00ba. The capability to\ncompensate for mismatched quadrature inputs is also\ndemonstrated.", "date": "2007", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "671-674", "id_number": "CaltechAUTHORS:20101029-143100560", "isbn": "978-1-4244-0786-6", "book_title": "Proceedings of the IEEE 2007 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20101029-143100560", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research" } ] }, "other_numbering_system": { "items": [ { "id": "9803983", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2007.4405821", "primary_object": { "basename": "Wang2007p8845Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf", "url": "https://authors.library.caltech.edu/records/2db4p-t4m59/files/Wang2007p8845Proceedings_Of_The_Ieee_2007_Custom_Integrated_Circuits_Conference.pdf" }, "resource_type": "book_section", "pub_year": "2007", "author_list": "Wang, Hua and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hm5yw-sg109", "eprint_id": 6862, "eprint_status": "archive", "datestamp": "2023-08-22 07:53:26", "lastmod": "2023-10-16 20:34:38", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Rokhsari-H", "name": { "family": "Rokhsari", "given": "Hossein" } }, { "id": "Hossein-Zadeh-M", "name": { "family": "Hossein-Zadeh", "given": "Mani" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Vahala-K-J", "name": { "family": "Vahala", "given": "Kerry" }, "orcid": "0000-0003-1783-1380" } ] }, "title": "Brownian noise in radiation-pressure-driven micromechanical oscillators", "ispublished": "pub", "full_text_status": "public", "keywords": "Brownian motion; random noise; radiation pressure; micromechanical devices; micro-optics; silicon compounds; microcavities; phase noise", "note": "\u00a92006 American Institute of Physics \n\n(Received 1 May 2006; accepted 21 November 2006; published online 27 December 2006) \n\nThis work was supported by DARPA and the Caltech Lee Center.", "abstract": "The authors demonstrate Brownian-noise-limited operation of an optomechanical oscillator, wherein mechanical oscillations of a silica optical microcavity are sustained by means of radiation pressure. Using phase noise measurement above threshold, it has been shown that the short-term linewidth of mechanical oscillations is fundamentally broadened, limited by thermal equipartition of energy.", "date": "2006-12-25", "date_type": "published", "publication": "Applied Physics Letters", "volume": "89", "number": "26", "publisher": "Applied Physics Letters", "pagerange": "Art. No. 261109", "id_number": "CaltechAUTHORS:ROKapl06", "issn": "0003-6951", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:ROKapl06", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1063/1.2424276", "primary_object": { "basename": "ROKapl06.pdf", "url": "https://authors.library.caltech.edu/records/hm5yw-sg109/files/ROKapl06.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Rokhsari, Hossein; Hossein-Zadeh, Mani; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/97vsz-v9y28", "eprint_id": 6804, "eprint_status": "archive", "datestamp": "2023-08-22 07:44:12", "lastmod": "2023-10-16 20:32:50", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Receiver and Antennas", "ispublished": "pub", "full_text_status": "public", "keywords": "BiCMOS, dielectric lens, integrated circuits, on-chip dipole antennas, phased-array, silicon germanium, surface wave", "note": "\u00a9 Copyright 2006 IEEE. Reprinted with permission. \n\nManuscript received May 3, 2006; revised September 1, 2006. [Posted online: 2006-11-20] This work was supported in part by the National Science Foundation under Grant ECS- 0239343. \n\nThe authors thank DARPA's trusted foundry program and IBM for the chip fabrication. They also appreciate valuable help from D. Rutledge, S. Weinreb, G. Rebeiz, T. Yu, Y. Wang, E. Keehr, A. Hassibi, and P. Focardi. The technical support for CAD tools from Agilent Technologies, Zeland Software Inc., Ansoft Corp., and Integrated Engineering Software is also appreciated.", "abstract": "In this paper, we present the receiver and the on-chip antenna sections of a fully integrated 77-GHz four-element phased-array transceiver with on-chip antennas in silicon. The receiver section of the chip includes the complete down-conversion path comprising low-noise amplifier (LNA), frequency synthesizer, phase rotators, combining amplifiers, and on-chip dipole antennas. The signal combining is performed using a novel distributed active combining amplifier at an IF of 26 GHz. In the LO path, the output of the 52-GHz VCO is routed to different elements and can be phase shifted locally by the phase rotators. A silicon lens on the backside is used to reduce the loss due to the surface-wave power of the silicon substrate. Our measurements show a single-element LNA gain of 23 dB and a noise figure of 6.0 dB. Each of the four receive paths has a gain of 37 dB and a noise figure of 8.0 dB. Each on-chip antenna has a gain of +2 dBi.", "date": "2006-12-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "41", "number": "12", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "2795-2806", "id_number": "CaltechAUTHORS:BABieeejssc06", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BABieeejssc06", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2006.884811", "primary_object": { "basename": "BABieeejssc06.pdf", "url": "https://authors.library.caltech.edu/records/97vsz-v9y28/files/BABieeejssc06.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Babakhani, Aydin; Guan, Xiang; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/3as2h-k1z76", "eprint_id": 6803, "eprint_status": "archive", "datestamp": "2023-08-22 07:44:07", "lastmod": "2023-10-16 20:32:47", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Transmitter and Local LO-Path Phase Shifting", "ispublished": "pub", "full_text_status": "public", "keywords": "Integrated circuits, LO-path, mm-wave, multiple antenna, phase interpolation, phase rotator, phase shifters, phased array, power amplifier, radar, SiGe, transceiver, transmitter", "note": "\u00a9 Copyright 2006 IEEE. Reprinted with permission. \n\nManuscript received May 1, 2006; revised September 1, 2006. [Posted online: 2006-11-20] \n\nThe authors thank the DARPA trusted foundry program and IBM for chip fabrication, and are grateful to Prof. D. Rutledge, Dr. S. Weinreb, Dr. B. Analui, Prof. Hashemi, and, T. Yu for their valuable assistance and support. The technical support for CAD tools from Agilent Technologies and Zeland Software Inc. is also appreciated.", "abstract": "Integration of mm-wave multiple-antenna systems on silicon-based processes enables complex, low-cost systems for high-frequency communication and sensing applications. In this paper, the transmitter and LO-path phase-shifting sections of the first fully integrated 77-GHz phased-array transceiver are presented. The SiGe transceiver utilizes a local LO-path phase-shifting architecture to achieve beam steering and includes four transmit and receive elements, along with the LO frequency generation and distribution circuitry. The local LO-path phase-shifting scheme enables a robust distribution network that scales well with increasing frequency and/or number of elements while providing high-resolution phase shifts. Each element of the heterodyne transmitter generates +12.5 dBm of output power at 77 GHz with a bandwidth of 2.5 GHz leading to a 4-element effective isotropic radiated power (EIRP) of 24.5 dBm. Each on-chip PA has a maximum saturated power of +17.5 dBm at 77 GHz. The phased-array performance is measured using an internal test option and achieves 12-dB peak-to-null ratio with two transmit and receive elements active.", "date": "2006-12-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "41", "number": "12", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "2807-2819", "id_number": "CaltechAUTHORS:NATieeejssc06", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:NATieeejssc06", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2006.884817", "primary_object": { "basename": "NATieeejssc06.pdf", "url": "https://authors.library.caltech.edu/records/3as2h-k1z76/files/NATieeejssc06.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Natarajan, Arun; Komijani, Abbas; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/0aw3h-0yx83", "eprint_id": 7273, "eprint_status": "archive", "datestamp": "2023-08-22 07:41:16", "lastmod": "2023-10-16 20:48:49", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James F." } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An Integrated Subharmonic Coupled-Oscillator Scheme for a 60-GHz Phased-Array Transmitter", "ispublished": "pub", "full_text_status": "public", "keywords": "BiCMOS integrated circuits, coupled oscillator, mutual injection locking, phased arrays", "note": "\u00a9 2006 IEEE. Reprinted with permission. \n\nManuscript received March 28, 2006; revised August 23, 2006. [Posted online: 2006-12-04] \n\nThe authors acknowledge the support of the Defense Advanced Research Projects Agency (DARPA) Trusted Foundries Program for access to the 8HP technology. Additionally, we thank the Rogers Corporation, Rogers, CT, for the generous donation of the duroid.\n\nPublished - BUCieeetmtt06.pdf
", "abstract": "This paper describes the design of an integrated coupled-oscillator array in SiGe for millimeter-wave applications. The design focuses on a scalable radio architecture where multiple dies are tiled to form larger arrays. A 2 \u00d7 2 oscillator array for a 60-GHz transmitter is fabricated with integrated power amplifiers and on-chip antennas. To lock between multiple dies, an injection-locking scheme appropriate for wire-bond interconnects is described. The 2 \u00d7 2 array demonstrates a 200\u2013MHz locking range and 1 \u00d7 4 array formed by two adjacent chips has a 60-MHz locking range. The phase noise of the coupled oscillators is below 100 dBc/Hz at a 1-MHz offset when locked to an external reference. To the best of the authors' knowledge, this is the highest frequency demonstration of coupled oscillators fabricated in a conventional silicon integrated-circuit process.", "date": "2006-12", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "54", "number": "12, Pa", "publisher": "IEEE", "pagerange": "4271-4280", "id_number": "CaltechAUTHORS:BUCieeemtt06", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BUCieeemtt06", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Defense Advanced Research Projects Agency (DARPA)" } ] }, "doi": "10.1109/TMTT.2006.885581", "primary_object": { "basename": "BUCieeetmtt06.pdf", "url": "https://authors.library.caltech.edu/records/0aw3h-0yx83/files/BUCieeetmtt06.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Buckwalter, James F.; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/r8yn2-dwb31", "eprint_id": 2959, "eprint_status": "archive", "datestamp": "2023-08-22 06:14:49", "lastmod": "2023-10-13 23:49:55", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Wideband 77-GHz, 17.5-dBm Fully Integrated Power Amplifier in Silicon", "ispublished": "pub", "full_text_status": "public", "keywords": "BiCMOS, integrated circuits, microstrip, phased arrays, power amplifiers, radio transmitters, SiGe, silicon, silicon germanium", "note": "\u00a9 Copyright 2006 IEEE. Reprinted with permission. \n\nManuscript received December 4, 2005; revised March 23, 2006. \n\nThe authors thank DARPA's trusted foundry program for chip fabrication. They also appreciate valuable help from S. Weinreb, A. Babakhani, A. Natarajan, B. Analui, X. Guan, E. Afshari, U. Pfeiffer, E. Keehr, A. Hassibi, and M. Manteghi. The technical support for CAD tools from Agilent Technologies, Zeland Software Inc., and Ansoft Corp. is also appreciated. \n\nSpecial Issue on the IEEE 2005 Custom Integrated Circuits Conference, 43(8), August 2006", "abstract": "A 77-GHz, +17.5 dBm power amplifier (PA) with fully integrated 50-\u03a9 input and output matching and fabricated in a 0.12-\u00b5m SiGe BiCMOS process is presented. The PA achieves a peak power gain of 17 dB and a maximum single-ended output power of 17.5 dBm with 12.8% of power-added efficiency (PAE). It has a 3-dB bandwidth of 15 GHz and draws 165 mA from a 1.8-V supply. Conductor-backed coplanar waveguide (CBCPW) is used as the transmission line structure resulting in large isolation between adjacent lines, enabling integration of the PA in an area of 0.6 mm^2. By using a separate image-rejection filter incorporated before the PA, the rejection at IF frequency of 25 GHz is improved by 35 dB, helping to keep the PA design wideband.", "date": "2006-08-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "41", "number": "8", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "1749-1756", "id_number": "CaltechAUTHORS:KOMieeejssc06", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:KOMieeejssc06", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2006.877258", "primary_object": { "basename": "KOMieeejssc06.pdf", "url": "https://authors.library.caltech.edu/records/r8yn2-dwb31/files/KOMieeejssc06.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Komijani, Abbas and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/mr37j-f1w31", "eprint_id": 6184, "eprint_status": "archive", "datestamp": "2023-08-22 06:15:14", "lastmod": "2023-10-16 20:10:41", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hossein-Zadeh-M", "name": { "family": "Hossein-Zadeh", "given": "Mani" } }, { "id": "Rokhsari-H", "name": { "family": "Rokhsari", "given": "Hossein" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Vahala-K-J", "name": { "family": "Vahala", "given": "Kerry J." }, "orcid": "0000-0003-1783-1380" } ] }, "title": "Characterization of a radiation-pressure-driven micromechanical oscillator", "ispublished": "pub", "full_text_status": "public", "keywords": "radiation pressure; micro-optics; optical resonators; phase noise; quantum optics; micromechanical resonators", "note": "\u00a92006 The American Physical Society \n\n(Received 16 February 2006; published 18 August 2006)", "abstract": "We present for the first time a detailed experimental study of the oscillation frequency, linewidth, RF spectrum and the phase noise of a radiation-pressure-driven micromechanical oscillator in a microtoroid geometry. Through this study we identify the critical parameters for optimal operation of this device and derive key expressions for tailoring the desired characteristics. The outcome of this study paves the ground for exploiting this unique phenomenon in photonic systems as well as fundamental studies in macroscopic quantum mechanics.", "date": "2006-08-01", "date_type": "published", "publication": "Physical Review A", "volume": "74", "number": "2", "publisher": "Physical Review A", "pagerange": "Art. No. 023813", "id_number": "CaltechAUTHORS:HOSpra06", "issn": "1050-2947", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HOSpra06", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1103/PhysRevA.74.023813", "primary_object": { "basename": "HOSpra06.pdf", "url": "https://authors.library.caltech.edu/records/mr37j-f1w31/files/HOSpra06.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Hossein-Zadeh, Mani; Rokhsari, Hossein; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/1g0w3-bf512", "eprint_id": 4456, "eprint_status": "archive", "datestamp": "2023-08-22 05:50:33", "lastmod": "2023-10-16 17:46:01", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James F." } }, { "id": "Meghelli-M", "name": { "family": "Meghelli", "given": "Mounir" } }, { "id": "Friedman-D-J", "name": { "family": "Friedman", "given": "Daniel J." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Phase and amplitude pre-emphasis techniques for low-power serial links", "ispublished": "pub", "full_text_status": "public", "keywords": "Equalization, high-speed serial links, intersymbol interference (ISI), data-dependent jitter, deterministic jitter, preemphasis, transmitter", "note": "\u00a9 Copyright 2006 IEEE. Reprinted with permission. \n\nManuscript received October 19, 2005; revised February 16, 2006. [Posted online: 2006-05-30] This work was supported by the Maryland Procurement Office under Contract H98230-04-C-0920 and the National Science Foundation. \n\nThe authors appreciate discussions with Drs. A. Rylyakov, S. Rylov, J. Bulzachelli, S. Gowda, M. Soyuer, and M. Oprysko regarding the direction and implementation of this project.", "abstract": "A novel approach to equalization of high-speed serial links combines both amplitude pre-emphasis to correct for intersymbol interference and phase pre-emphasis to compensate for deterministic jitter, in particular, data-dependent jitter. Phase pre-emphasis augments the performance of low power transmitters in bandwidth-limited channels. The transmitter circuit is implemented in a 90-nm bulk CMOS process and reduces power consumption by pushing CMOS static logic to the output stage, a 4:1 output multiplexer. The received signal jitter over a cable is reduced from 16.15 ps to 10.29 ps with only phase pre-emphasis at the transmitter. The jitter is reduced by 3.6 ps over an FR-4 backplane interconnect. A transmitter without phase pre-emphasis consumes 18 mW of power at 6Gb/s and 600mVpp output swing, a power budget of 3mW/Gb/s, while a transmitter with phase pre-emphasis consumes 24mW, a budget of 4 mW/Gb/s.", "date": "2006-06-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "41", "number": "6", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "1391-1399", "id_number": "CaltechAUTHORS:BUCieeejssc06c", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BUCieeejssc06c", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2006.874270", "primary_object": { "basename": "BUCieeejssc06c.pdf", "url": "https://authors.library.caltech.edu/records/1g0w3-bf512/files/BUCieeejssc06c.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Buckwalter, James F.; Meghelli, Mounir; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/rf9yj-9e969", "eprint_id": 21816, "eprint_status": "archive", "datestamp": "2023-08-22 05:47:53", "lastmod": "2023-10-23 15:10:31", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James F." } }, { "id": "Babakhani-A", "name": { "family": "Babakhani", "given": "Aydin" } }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Quadrature Subharmonic Coupled Oscillators for a 60GHz SiGe Scalable Phased Array", "ispublished": "unpub", "full_text_status": "public", "keywords": "Phased Array; Coupled Oscillator; Millimeter Wave; Silicon Germanium", "note": "\u00a9 2006 IEEE.\n\nIssue Date: 11-16 June 2006; Date of Current Version: 20 November 2006.\n\nPublished - Buckwalter2006p88972008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf
", "abstract": "This paper describes an integrated coupled oscillator array in SiGe for millimeter wave applications. The design focuses on scalable radio architectures where multiple dies are tiled to form larger arrays. A 2 x 2 oscillator array for a 60GHz transmitter is designed with integrated power amplifiers and antennas. The 2 x 2 array demonstrates a 200MHz locking range and 1 x 4 array formed by two adjacent chips has a 60MHz locking range. The phase noise of the array is below -110dBc/Hz at a 1MHz offset.", "date": "2006-06", "date_type": "published", "publisher": "IEEE", "pagerange": "822-825", "id_number": "CaltechAUTHORS:20110119-112821542", "isbn": "978-0-7803-9541-1", "book_title": "IEEE MTT-S International Microwave Symposium Digest 2006", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110119-112821542", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "9089092", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/MWSYM.2006.249799", "primary_object": { "basename": "Buckwalter2006p88972008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf", "url": "https://authors.library.caltech.edu/records/rf9yj-9e969/files/Buckwalter2006p88972008_Ieee_Mtt-S_International_Microwave_Symposium_Digest_Vols_1-4.pdf" }, "resource_type": "book_section", "pub_year": "2006", "author_list": "Buckwalter, James F.; Babakhani, Aydin; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jq1pe-gvw12", "eprint_id": 3159, "eprint_status": "archive", "datestamp": "2023-08-22 05:18:03", "lastmod": "2023-10-16 15:40:32", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James F." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Analysis and equalization of data-dependent jitter", "ispublished": "pub", "full_text_status": "public", "keywords": "Data-dependent jitter, equalizer, jitter, timing circuits", "note": "\u00a9 Copyright 2006 IEEE. Reprinted with permission \n\nManuscript received June 30, 2005; revised October 24, 2005. [2006-02-27] This work was supported by the National Science Foundation and an IBM Ph.D. Fellowship. \n\nThe authors would like to thank B. Analui at Caltech for helpful discussions. They also appreciate the support of IBM Research at Yorktown Heights, NY, for providing foundry access. Additionally, the authors thank the Rogers Corporation for the generous donation of duroid.", "abstract": "Data-dependent jitter limits the bit-error rate (BER) performance of broadband communication systems and aggravates synchronization in phase- and delay-locked loops used for data recovery. A method for calculating the data-dependent jitter in broadband systems from the pulse response is discussed. The impact of jitter on conventional clock and data recovery circuits is studied in the time and frequency domain. The deterministic nature of data-dependent jitter suggests equalization techniques suitable for high-speed circuits. Two equalizer circuit implementations are presented. The first is a SiGe clock and data recovery circuit modified to incorporate a deterministic jitter equalizer. This circuit demonstrates the reduction of jitter in the recovered clock. The second circuit is a MOS implementation of a jitter equalizer with independent control of the rising and falling edge timing. This equalizer demonstrates improvement of the timing margins that achieve 10/sup -12/ BER from 30 to 52 ps at 10 Gb/s.", "date": "2006-03-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "41", "number": "3", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "607-620", "id_number": "CaltechAUTHORS:BUCieeejssc06a", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BUCieeejssc06a", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2005.864119", "primary_object": { "basename": "BUCieeejssc06a.pdf", "url": "https://authors.library.caltech.edu/records/jq1pe-gvw12/files/BUCieeejssc06a.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Buckwalter, James F. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/994c0-rvk50", "eprint_id": 3160, "eprint_status": "archive", "datestamp": "2023-08-22 05:18:09", "lastmod": "2023-10-16 15:40:34", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James F." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Cancellation of crosstalk-induced jitter", "ispublished": "pub", "full_text_status": "public", "keywords": "Data-dependent jitter (DDJ), intersymbol interference, jitter", "note": "\u00a9 Copyright 2006 IEEE. Reprinted with permission. \n\nManuscript received June 30, 2005; revised September 15, 2005. [Posted online: 2006-02-27] This work was supported by the National Science Foundation and an IBM Ph.D. Fellowship. \n\nThe authors would like to thank B. Analui at Caltech for helpful discussions. Foundry access was provided by IBM Research at Yorktown Heights, NY. Additionally, the authors thank the Rogers Corporation for the generous donation of duroid.", "abstract": "A novel jitter equalization circuit is presented that addresses crosstalk-induced jitter in high-speed serial links. A simple model of electromagnetic coupling demonstrates the generation of crosstalk-induced jitter. The analysis highlights unique aspects of crosstalk-induced jitter that differ from far-end crosstalk. The model is used to predict the crosstalk-induced jitter in 2-PAM and 4-PAM, which is compared to measurement. Furthermore, the model suggests an equalizer that compensates for the data-induced electromagnetic coupling between adjacent links and is suitable for pre- or post-emphasis schemes. The circuits are implemented using 130-nm MOSFETs and operate at 5-10 Gb/s. The results demonstrate reduced deterministic jitter and lower bit-error rate (BER). At 10 Gb/s, the crosstalk-induced jitter equalizer opens the eye at 10^sup-12 BER from 17 to 45 ps and lowers the rms jitter from 8.7 to 6.3 ps.", "date": "2006-03-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "41", "number": "3", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "621-632", "id_number": "CaltechAUTHORS:BUCieeejssc06b", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BUCieeejssc06b", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2005.864113", "primary_object": { "basename": "BUCieeejssc06b.pdf", "url": "https://authors.library.caltech.edu/records/994c0-rvk50/files/BUCieeejssc06b.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Buckwalter, James F. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7ee3s-sf944", "eprint_id": 3139, "eprint_status": "archive", "datestamp": "2023-08-22 05:18:58", "lastmod": "2023-10-16 15:39:25", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Afshari-E", "name": { "family": "Afshari", "given": "E." } }, { "id": "Bhat-H-S", "name": { "family": "Bhat", "given": "H. S." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" }, { "id": "Marsden-J-E", "name": { "family": "Marsden", "given": "J. E." } } ] }, "title": "Extremely wideband signal shaping using one- and two-dimensional nonuniform nonlinear transmission lines", "ispublished": "pub", "full_text_status": "public", "keywords": "equivalent circuits; passive networks; transmission line theory; nonlinear differential equations; analogue processing circuits; microstrip lines", "note": "\u00a92006 American Institute of Physics \n\n(Received 16 May 2005; accepted 20 January 2006; published online 1 March 2006; corrected 3 March 2006) \n\nThe authors would like to acknowledge A. Komijani and M. Taghivand of Caltech and J. Nathan Kutz and B. Deconinck at the University of Washington, Seattle for helpful discussions. They also acknowledge IBM Corporation for prototype fabrication, and Agilent Technologies for test equipment support. This research is partially supported by NSF-ITR Grant No. ACI-0204932.", "abstract": "We propose a class of electrical circuits for extremely wideband (EWB) signal shaping. A one-dimensional, nonlinear, nonuniform transmission line is proposed for narrow pulse generation. A two-dimensional transmission lattice is proposed for EWB signal combining. Model equations for the circuits are derived. Theoretical and numerical solutions of the model equations are presented, showing that the circuits can be used for the desired application. The procedure by which the circuits are designed exemplifies a modern, mathematical design methodology for EWB circuits.", "date": "2006-03-01", "date_type": "published", "publication": "Journal of Applied Physics", "volume": "99", "number": "5", "publisher": "Journal of Applied Physics", "pagerange": "Art. No. 054901", "id_number": "CaltechAUTHORS:AFSjap06", "issn": "0021-8979", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AFSjap06", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1063/1.2174126", "primary_object": { "basename": "AFSjap06.pdf", "url": "https://authors.library.caltech.edu/records/7ee3s-sf944/files/AFSjap06.pdf" }, "resource_type": "article", "pub_year": "2006", "author_list": "Afshari, E.; Bhat, H. S.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7309e-jts86", "eprint_id": 5792, "eprint_status": "archive", "datestamp": "2023-08-22 05:01:22", "lastmod": "2023-10-16 19:25:15", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Fully integrated millimeter-wave CMOS phased arrays", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 Copyright 2006 IEEE. Reprinted with permission.", "abstract": "A decade ago, RF CMOS, even at low gigahertz frequencies, was considered an oxymoron by all but the most ambitious and\noptimistic. Today, it is a dominating force in most commercial wireless applications (e.g., cellular, WLAN, GPS, BlueTooth, etc.) and has proliferated into areas such as watt level power amplifiers (PA) [1] that have been the undisputed realm of compound semiconductors. \n\nThis seemingly ubiquitous embracement of silicon and particularly CMOS is no accident. It stems from the reliable nature of silicon process technologies that make it possible to integrated hundreds of millions of transistors on a single chip without a single device failure, as evident in today's microprocessors. Applied to microwave and millimeter wave applications, silicon opens the door for a plethora of new topologies, architectures, and applications. This rapid adoption of silicon is further facilitated by one's ability to integrate a great deal of in situ digital signal processing and calibration [2]. \n\nIntegration of high-frequency phased-array systems in silicon (e.g., CMOS) promises a future of low-cost radar and gigabit-per-second wireless communication networks. In communication applications, phased array provides an improved signal-to-noise ratio via formation of a beam and reduced interference generation for other users. The practically unlimited number of active and passive devices available on a silicon chip and their extremely tight control and excellent repeatability enable new architectures (e.g., [3]) that are not practical in compound semiconductor module-based approaches. \n\nThe feasibility of such approaches can be seen through the discussion of an integrated 24GHz 4-element phased-array transmitter in 0.18\u03bcm CMOS [2], capable of beam forming and rapid beam steering for radar applications. On-chip power amplifiers (PA), with integrated 50\u03a9 output matching, make this a fully-integrated transmitter. This CMOS transmitter and the 8-element phased-array SiGe receiver in [5], demonstrate the feasibility of 24GHz phased-array systems in silicon-based processes.", "date": "2006-01-30", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Los Alamitos, CA", "pagerange": "45-48", "id_number": "CaltechAUTHORS:HAJcsic05", "isbn": "0-7803-9250-7", "book_title": "Compound Semiconductor Integrated Circuit Symposium, 2005 (CSIC '05)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAJcsic05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/CSICS.2005.1531752", "primary_object": { "basename": "HAJcsic05.pdf", "url": "https://authors.library.caltech.edu/records/7309e-jts86/files/HAJcsic05.pdf" }, "resource_type": "book_section", "pub_year": "2006", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/njykm-a7s82", "eprint_id": 18062, "eprint_status": "archive", "datestamp": "2023-08-19 16:53:06", "lastmod": "2023-10-20 15:33:08", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hossein-Zadeh-M", "name": { "family": "Hossein-Zadeh", "given": "Mani" } }, { "id": "Rokhsari-H", "name": { "family": "Rokhsari", "given": "Hossein" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Vahala-K-J", "name": { "family": "Vahala", "given": "Kerry" }, "orcid": "0000-0003-1783-1380" } ] }, "title": "Characterization of a Radiation-Pressure-Driven Micromechanical Oscillator", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2006 IEEE.\nIssue Date: June 2006.\nDate of Current Version: 08 January 2007.", "abstract": "We present results of an experimental study of the\noscillation frequency, linewidth, RF-spectrum and the phase\nnoise of a radiation-pressure-driven optomechanical oscillator in\na microtoroidal geometry. Through this study we identify the\ncritical parameters that can be used for tailoring the desired\ncharacteristics of this device.", "date": "2006", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "405-408", "id_number": "CaltechAUTHORS:20100423-142111516", "isbn": "978-1-4244-0073-7", "book_title": "Proceedings of the 2006 IEEE International Frequency Control Symposium and Exposition", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20100423-142111516", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "9365681", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/FREQ.2006.275419", "resource_type": "book_section", "pub_year": "2006", "author_list": "Hossein-Zadeh, Mani; Rokhsari, Hossein; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/6mv16-pms15", "eprint_id": 1198, "eprint_status": "archive", "datestamp": "2023-08-22 04:33:46", "lastmod": "2023-10-13 22:40:43", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Rylyakov-A", "name": { "family": "Rylyakov", "given": "Alexander" } }, { "id": "Rylov-S", "name": { "family": "Rylov", "given": "Sergey" } }, { "id": "Meghelli-M", "name": { "family": "Meghelli", "given": "Mounir" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 10-Gb/s two-dimensional eye-opening monitor in 0.13-\u03bcm standard CMOS", "ispublished": "pub", "full_text_status": "public", "keywords": "Bit error rate, CMOS, eye diagram, eye monitor, eye-opening monitor, high speed, mask error rate, signal quality", "note": "\u00a9 Copyright 2005 IEEE. \"Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received April 11, 2005; revised July 25, 2005; Posted online: 2005-12-05. This work was supported by Caltech's Lee Center for Advanced Networking and the National Science Foundation. \n\nThe authors thank IBM Microelectronics for chip fabrication. They also acknowledge J. Tierno, T. Zwick, M. Beakes, S. Gowda, D. Friedman, M. Soyuer, and M. Oprysko of IBM T. J. Watson Research Center and J. Ewen of JDS-Uniphase for technical feedback and support. They thank J. Buckwalter from Caltech's CHIC group for useful comments on the manuscript.", "abstract": "An eye-opening monitor (EOM) architecture that can capture a two-dimensional (2-D) map of the eye diagram of a high-speed data signal has been developed. Two single-quadrant phase rotators and one digital-to-analog converter (DAC) are used to generate rectangular masks with variable sizes and aspect ratios. Each mask is overlapped with the received eye diagram and the number of signal transitions inside the mask is recorded as error. The combination of rectangular masks with the same error creates error contours that overall provide a 2-D map of the eye. The authors have implemented a prototype circuit in 0.13-\u03bcm standard CMOS technology that operates up to 12.5 Gb/s at 1.2-V supply. The EOM maps the input eye to a 2-D error diagram with up to 68-dB mask error dynamic range. The left and right halves of the eyes are monitored separately to capture horizontally asymmetric eyes. The chip consumes 330 mW and operates reliably with supply voltages as low as 1 V at 10 Gb/s. The authors also present a detailed analysis that verifies if the measurements are in good agreement with the expected results.", "date": "2005-12-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "40", "number": "12", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "2689-2699", "id_number": "CaltechAUTHORS:ANAieeejssc05", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:ANAieeejssc05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2005.856576", "primary_object": { "basename": "ANAieeejssc05.pdf", "url": "https://authors.library.caltech.edu/records/6mv16-pms15/files/ANAieeejssc05.pdf" }, "resource_type": "article", "pub_year": "2005", "author_list": "Analui, Behnam; Rylyakov, Alexander; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/0r82e-jjk94", "eprint_id": 1560, "eprint_status": "archive", "datestamp": "2023-08-22 04:34:10", "lastmod": "2023-10-13 22:52:38", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A fully integrated 24-GHz phased-array transmitter in CMOS", "ispublished": "pub", "full_text_status": "public", "keywords": "CMOS, integrated circuits, multiphase oscillator, phased arrays, power amplifiers, radar, transmitter, wireless communications", "note": "\u00a9 Copyright 2005 IEEE. Reprinted with permission. \n\nManuscript received April 26, 2005; revised July 15, 2005. Posted online: 2005-12-05. \n\nThe authors would like to thank X. Guan and H. Hashemi for their technical contributions and discussions and B. Analui, A. Babakhani, E. Afshari, Prof. David Rutledge, and the anonymous reviewers for their suggestions and feedback. They would also like to acknowledge IBM Corporation for chip fabrication. N. Wadefalk and A. Shen assisted in printed circuit board preparation and packaging. The authors also thank the Lee Center for Advanced Networking for support.", "abstract": "This paper presents the first fully integrated 24-GHz phased-array transmitter designed using 0.18-/spl mu/m CMOS transistors. The four-element array includes four on-chip CMOS power amplifiers, with outputs matched to 50 /spl Omega/, that are each capable of generating up to 14.5 dBm of output power at 24 GHz. The heterodyne transmitter has a two-step quadrature up-conversion architecture with local oscillator (LO) frequencies of 4.8 and 19.2 GHz, which are generated by an on-chip frequency synthesizer. Four-bit LO path phase shifting is implemented in each element at 19.2 GHz, and the transmitter achieves a peak-to-null ratio of 23 dB with raw beam-steering resolution of 7/spl deg/ for radiation normal to the array. The transmitter can support data rates of 500 Mb/s on each channel (with BPSK modulation) and occupies 6.8 mm /spl times/ 2.1 mm of die area.", "date": "2005-12-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "40", "number": "12", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "2502-2514", "id_number": "CaltechAUTHORS:NATieeejssc05", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:NATieeejssc05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2005.857420", "primary_object": { "basename": "NATieeejssc05.pdf", "url": "https://authors.library.caltech.edu/records/0r82e-jjk94/files/NATieeejssc05.pdf" }, "resource_type": "article", "pub_year": "2005", "author_list": "Natarajan, Arun; Komijani, Abbas; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/nnnqm-vcb56", "eprint_id": 1042, "eprint_status": "archive", "datestamp": "2023-08-22 04:24:30", "lastmod": "2023-10-13 22:06:07", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James F." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Data-dependent jitter in serial communications", "ispublished": "pub", "full_text_status": "public", "keywords": "Data-dependent jitter (DDJ), deterministic jitter (DJ), jitter, jitter distribution, linear time-invariant (LTI) system, step response", "note": "\"\u00a92005 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received April 1, 2005; revised July 7, 2005. This work was supported in part by the National Science Foundation and by the Lee Center for Advanced Networking, California Institute of Technology.\n\nThe authors acknowledge Prof. H. Hashemi, University of Southern California, Los Angeles, A. Farajidana, California Institute of Technology, Pasadena, and M. Sharif, California Institute of Technology, for valuable discussions. The authors also thank A. Komijani and A. Natarajan, both of the California Institute of Technology, for providing feedback on this paper's manuscript.", "abstract": "We present a method for predicting data-dependent jitter (DDJ) introduced by a general linear time-invariant LTI system based on the system's unit step response. We express the exact DDJ of a first-order system and verify the validity of the solution experimentally. We then propose a perturbation technique to generalize the analytical expression for DDJ. We highlight the significance of the unit step response in characterizing DDJ and emphasize that bandwidth is not a complete measure for predicting DDJ. We separate the individual jitter contributions of prior bits and use the result to predict the DDJ of a general LTI system. In particular, we identify a dominant prior bit that signifies the well-known distribution of deterministic jitter, the two impulse functions. We also show a jitter minimization property of high-order LTI systems. We verify our generalized analytical expression of DDJ for several real systems including an integrated CMOS 10-Gb/s trans-impedance amplifier by comparing the theory and measurement results. The theory predicts the jitter with as low as only 7.5% error.", "date": "2005-11-01", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "53", "number": "11", "publisher": "IEEE Transactions on Microwave Theory and Techniques", "pagerange": "3388-3397", "id_number": "CaltechAUTHORS:ANAieeetmtt05", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:ANAieeetmtt05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TMTT.2005.857118", "primary_object": { "basename": "ANAieeetmtt05.pdf", "url": "https://authors.library.caltech.edu/records/nnnqm-vcb56/files/ANAieeetmtt05.pdf" }, "resource_type": "article", "pub_year": "2005", "author_list": "Analui, Behnam; Buckwalter, James F.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/y535t-n5g32", "eprint_id": 826, "eprint_status": "archive", "datestamp": "2023-08-22 04:08:15", "lastmod": "2023-10-13 21:59:27", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 24-GHz, +14.5-dBm fully integrated power amplifier in 0.18-\u03bcm CMOS", "ispublished": "pub", "full_text_status": "public", "keywords": "Amplifier stability, CMOS integrated circuits, coplanar waveguides, phased arrays, radio transmitters, silicon", "note": "\"\u00a9 2005 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received December 8, 2004; revised February 25, 2005. \n\nThe authors would like to thank IBM for chip fabrication, as well as A. Babakhani, H. Hashemi, M. Morgan, E. Afshari, B. Analui, and N. Wadefalk of Caltech, A. Mirzaei of UCLA, and the anonymous reviewers for their assistance and feedback. The technical support for CAD tools from Agilent Technologies, Ansoft Corp., and Zeland Software, Inc., is also appreciated.", "abstract": "A 24-GHz +14.5-dBm fully integrated power amplifier with on-chip 50-[ohm] input and output matching is demonstrated in 0.18-\u03bcm CMOS. The use of substrate-shielded coplanar waveguide structures for matching networks results in low passive loss and small die size. Simple circuit techniques based on stability criteria derived result in an unconditionally stable amplifier. The power amplifier achieves a power gain of 7 dB and a maximum single-ended output power of +14.5-dBm with a 3-dB bandwidth of 3.1 GHz, while drawing 100 mA from a 2.8-V supply. The chip area is 1.26 mm^2.", "date": "2005-09-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "4", "number": "9", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "1901-1908", "id_number": "CaltechAUTHORS:KOMieeejssc05", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:KOMieeejssc05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2005.848143", "primary_object": { "basename": "KOMieeejssc05.pdf", "url": "https://authors.library.caltech.edu/records/y535t-n5g32/files/KOMieeejssc05.pdf" }, "resource_type": "article", "pub_year": "2005", "author_list": "Komijani, Abbas; Natarajan, Arun; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/znn24-h0k29", "eprint_id": 824, "eprint_status": "archive", "datestamp": "2023-08-22 04:08:10", "lastmod": "2023-10-13 21:59:23", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Hashemi-H", "name": { "family": "Hashemi", "given": "Hossein" } }, { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } } ] }, "title": "Integrated phased array systems in silicon", "ispublished": "pub", "full_text_status": "public", "keywords": "Beam forming, CMOS, frequency generation, low-noise amplifiers (LNAs), phase shifting, phased arrays, power amplifier, radar, receivers, SiGe, silicon, transmitters, wireless communications", "note": "\"\u00a92005 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received August 2, 2004; revised March 17, 2005. \n\nThe authors would like to thank IBM Corp. for chip fabrication\nand Lee Center for Advance Networking for support.\nThe authors would also like to thank R. Aparicio, D. Lu, M. Morgan, B. Analui, and Prof. D. Rutledge for valuable technical discussions. N. Wadefalk and A. Shen assisted in PCB and microwave package preparation. R. Chunara provided the original version of the code used for programming the phased array receiver.", "abstract": "Silicon offers a new set of possibilities and challenges for RF, microwave, and millimeter-wave applications. While the high cutoff frequencies of the SiGe heterojunction bipolar transistors and the ever-shrinking feature sizes of MOSFETs hold a lot of promise, new design techniques need to be devised to deal with the realities of these technologies, such as low breakdown voltages, lossy substrates, low-Q passives, long interconnect parasitics, and high-frequency coupling issues. As an example of complete system integration in silicon, this paper presents the first fully integrated 24-GHz eight-element phased array receiver in 0.18-\u03bcm silicon-germanium and the first fully integrated 24-GHz four-element phased array transmitter with integrated power amplifiers in 0.18-\u03bcm CMOS. The transmitter and receiver are capable of beam forming and can be used for communication, ranging, positioning, and sensing applications.", "date": "2005-09-01", "date_type": "published", "publication": "Proceedings of the IEEE", "volume": "93", "number": "9", "publisher": "Proceedings of the IEEE", "pagerange": "1637-1655", "id_number": "CaltechAUTHORS:HAJprocieee05", "issn": "0018-9219", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAJprocieee05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JPROC.2005.852231", "primary_object": { "basename": "HAJprocieee05.pdf", "url": "https://authors.library.caltech.edu/records/znn24-h0k29/files/HAJprocieee05.pdf" }, "resource_type": "article", "pub_year": "2005", "author_list": "Hajimiri, Ali; Hashemi, Hossein; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/s676n-0kq86", "eprint_id": 24949, "eprint_status": "archive", "datestamp": "2023-08-19 16:17:16", "lastmod": "2024-01-13 05:20:32", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Wideband 77GHz, 17.5dBm Power Amplifier in Silicon", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2005 IEEE. Date of Current Version: 10 January 2006. The authors would like to thank IBM Microelectronics for\nfabricating the chip. They also appreciate valuable help from S. Weinreb, A. Babakhani, A. Natarajan, B. Analui, X. Guan, and E. Afshari. The technical support for CAD tools from Agilent Technologies, Zeland Software Inc. and Ansoft Corp. is also appreciated.", "abstract": "A 77GHz, +17.5dBm fully-integrated power amplifier (PA) with 50\u03a9 input and output matching is fabricated in a 0.12\u03bcm SiGe BiCMOS process. The power amplifier achieves a peak power gain of 17dB and a maximum single-ended output power of +17.5dBm with 12.8% of power-added efficiency (PAE). It has a 3dB bandwidth of 15GHz and draws 165mA from a 1.8V supply. Microstrip tubs are used as the transmission line structure resulting in large isolation between adjacent lines, enabling integration of the PA in a small area of 0.6mm.", "date": "2005-09", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, N.J.", "pagerange": "571-574", "id_number": "CaltechAUTHORS:20110819-071747535", "isbn": "0-7803-9023-7", "book_title": "Proceedings of the IEEE 2005 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110819-071747535", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8834579", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2005.1568732", "resource_type": "book_section", "pub_year": "2005", "author_list": "Komijani, Abbas and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/w2533-37483", "eprint_id": 25106, "eprint_status": "archive", "datestamp": "2023-08-19 16:08:17", "lastmod": "2024-01-13 05:22:59", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "White-C-J", "name": { "family": "White", "given": "Christopher J." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Solid-State Atomic Frequency Standard", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2005 IEEE. Issue Date: 29-31 Aug. 2005. Date of Current Version: 16 January 2006. The authors thank Richard Bramley for generously discussing his earlier zero-field paramagnetic resonance work. Thanks also to James White for assisting with the resonator fabrication, and to Philip Feng for annealing the magnesium oxide crystals.", "abstract": "This paper describes a new class of frequency\nreference. The frequency source uses the same operating\nprinciple as a passive atomic frequency standard; however, the device is entirely solid-state, removing many cost and\nreliability issues associated with gas phase atomic clocks. More specifically, the \"atomic resonance\" is derived from zero-field magnetic resonance transitions of the vanadium ion in magnesium oxide. The characteristics of these resonances will be described in detail. The apparatus for measuring the \"atomic\" resonances uses a microwave resonant cavity and frequency-discriminator circuit. Using integrated circuits, the radio-frequency signal processing functions can be implemented at very low cost in a reliable manufacturing process. We discuss the system design and the measurement sensitivity. The estimated short term stability is in the range of 10^(-8) to 10^(-9) @ 1 s. Advantages of the new frequency reference may include immunity to vibration, reduced aging compared to crystal oscillators, and immediate cold start.", "date": "2005-08", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "940-946", "id_number": "CaltechAUTHORS:20110825-151120289", "isbn": "0-7803-9052-0", "book_title": "2005 IEEE International Frequency Control Symposium and Exposition", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110825-151120289", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8903689", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/FREQ.2005.1574061", "resource_type": "book_section", "pub_year": "2005", "author_list": "White, Christopher J. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/4z3fg-21j15", "eprint_id": 1043, "eprint_status": "archive", "datestamp": "2023-08-22 03:58:31", "lastmod": "2023-10-13 22:06:09", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Instantaneous Clockless Data Recovery and Demultiplexing", "ispublished": "pub", "full_text_status": "public", "keywords": "Burst-mode communication, combinational logic circuits, delay circuits, delay lines, demultiplexing, emitter coupled logic, finite-state machines (FSMs), passive circuits, transmission lines", "note": "\"\u00a92005 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received June 4, 2004. This paper was recommended by Associate Editor A. G. Andreou. \n\nThe authors thank J. Buckwalter for his feedback on the manuscript, H. Hashemi and A. Komijani for their contributions to the layout, and S. Mandegaran for helping with Fig. 4(b).", "abstract": "An alternative architecture for instantaneous data recovery for burst-mode communication is introduced. The architecture can perform 1:n demultiplexing without additional clock recovery phase-locked loop or sampling blocks. A finite-state machine (FSM) is formed with combinational logic and analog LC transmission line delay cells in a feedback loop. The FSM responds to input data transitions instantaneously and sets the outputs. The system reduces unit interval jitter by a factor of n. The new architecture is demonstrated via a SiGe 1:2 clockless demultiplexer circuit that operates at 7.5 Gb/s.", "date": "2005-08", "date_type": "published", "publication": "IEEE Transactions on Circuits and Systems II: Express Briefs", "volume": "52", "number": "8", "publisher": "IEEE", "pagerange": "437-441", "id_number": "CaltechAUTHORS:ANAieeetcsII05", "issn": "1549-7747", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:ANAieeetcsII05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TCSII.2005.850453", "primary_object": { "basename": "ANAieeetcsII05.pdf", "url": "https://authors.library.caltech.edu/records/4z3fg-21j15/files/ANAieeetcsII05.pdf" }, "resource_type": "article", "pub_year": "2005", "author_list": "Analui, Behnam and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/6n2th-b1m39", "eprint_id": 3731, "eprint_status": "archive", "datestamp": "2023-08-22 03:46:31", "lastmod": "2023-10-16 16:07:57", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James" }, "orcid": "0000-0002-9390-0897" }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Estimating data-dependent jitter of a general LTI system from step response", "ispublished": "unpub", "full_text_status": "public", "keywords": "Timing jitter, data dependent jitter, linear time-invariant systems, intersymbol interference, transient response", "note": "\u00a9 Copyright 2005 IEEE. Reprinted with permission. \n\nPosted online: 2005-10-31", "abstract": "We present a method for estimating data dependent jitter (DDJ) introduced by a general LTI system, based on the system's step response. A perturbation technique is used to generalize the analytical expression for DDJ. Different scales of DDJ are defined that characterize the probability distribution of jitter. In particular, we identify a dominant prior bit that signifies the well-known distribution of DDJ, the two impulse functions. We also highlight that system bandwidth is not a complete measure for predicting DDJ. We verify our generalized analytical expression of DDJ experimentally and show that estimation errors are less than 7.5%.", "date": "2005-06-12", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1841-1844", "id_number": "CaltechAUTHORS:ANAmttsims05", "isbn": "0780388453", "book_title": "2005 IEEE MTT-S International Microwave Symposium Digest", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:ANAmttsims05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/MWSYM.2005.1517087", "primary_object": { "basename": "ANAmttsims05.pdf", "url": "https://authors.library.caltech.edu/records/6n2th-b1m39/files/ANAmttsims05.pdf" }, "resource_type": "book_section", "pub_year": "2005", "author_list": "Analui, Behnam; Buckwalter, James; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/54za1-6ag81", "eprint_id": 25085, "eprint_status": "archive", "datestamp": "2023-08-19 15:51:47", "lastmod": "2024-01-13 05:22:42", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Natarajan-A", "name": { "family": "Natarajan", "given": "Arun" }, "orcid": "0000-0003-3648-3844" }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 24 GHz Phased-Array Transmitter in 0.18\u00b5m CMOS", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Wireless, Transmitters, Phased-Array, 24GHz, CMOS, IC.", "note": "\u00a9 2005 IEEE. Date of Current Version: 26 September 2005.", "abstract": "A fully-integrated 4-element phased array transmitter at 24 GHz with on-chip PAs is demonstrated in 0.18 \u00b5m CMOS. It has a beam-forming resolution of 10 degrees, a peak-to-null ratio of 23 dB, and 28 dB isolation between paths. Each PA can deliver up to +14 dBm into 50 \u03a9 in saturation. The die size is 6.8mm x 2.1mm. The transmitter bandwidth is more than 400MHz and supports up to 1 Gbit/s QPSK, facilitating a Gigabit wireless LAN solution.", "date": "2005-06", "date_type": "published", "publisher": "Association for Computing Machinery", "place_of_pub": "New York, NY", "pagerange": "551-552", "id_number": "CaltechAUTHORS:20110825-080937821", "isbn": "1-59593-058-2", "book_title": "42nd Design Automation Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110825-080937821", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8573128", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/DAC.2005.193871", "resource_type": "book_section", "pub_year": "2005", "author_list": "Natarajan, Arun; Komijani, Abbas; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2zqrh-k2g27", "eprint_id": 24914, "eprint_status": "archive", "datestamp": "2023-08-19 15:51:26", "lastmod": "2023-10-24 15:02:35", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Fully Integrated RF CMOS Power Amplifiers - A Prelude to FulI Radio Integration", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2005 IEEE. Date of Current Version: 08 August 2005. The author would like to thank A. Aoki, S. Kee, and D. Rutledge\nas well as many employees of Axiom Microdevices Inc.\nfor their inputs and contributions.", "abstract": "A fully integrated radio on a chip offers innumerable advantages and several challenges. We discuss some of these opportunities and challenges for a fully-integrated CMOS PA and see how the distributed active transformer (DAT) technology can overcome them.", "date": "2005-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "439-442", "id_number": "CaltechAUTHORS:20110817-114618470", "isbn": "0-7803-8983-2", "book_title": "2005 IEEE Radio Frequency Integrated Circuits", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110817-114618470", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8479174", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/RFIC.2005.1489837", "resource_type": "book_section", "pub_year": "2005", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/q69fw-36m49", "eprint_id": 3622, "eprint_status": "archive", "datestamp": "2023-08-22 03:18:29", "lastmod": "2023-10-16 16:03:42", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Afshari-E", "name": { "family": "Afshari", "given": "Ehsan" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Nonlinear transmission lines for pulse shaping in silicon", "ispublished": "pub", "full_text_status": "public", "keywords": "accumulation-mode varactors; edge sharpening; nonlinear effects; nonlinear transmission lines; pulse shaping; solitons; transmission lines", "note": "\u00a9 2005 IEEE. Reprinted with permission. \n\nManuscript received May 20, 2004; revised September 10, 2004. This work was supported by the Lee Center and the National Science Foundation.\n\nThe authors would like to thank D. Ham, H. Wu, A. Komijani, C. White, M. Sharif, M. Taghivand, H. Hashemi, S. Kee, and B. Analui of Caltech and Prof. M. Horowitz of Stanford University for helpful discussions, and M. Azarmnia for support. They also acknowledge IBM Corporation for prototype fabrication, and Agilent Technologies for test equipment support.", "abstract": "Nonlinear transmission lines (NLTL) are used for pulse shaping. We developed the theory of pulse propagation through the NLTL. The problem of a wide pulse degenerating into multiple pulses rather than a single pulse is solved by using a gradually scaled NLTL. We exploit certain favorable properties of accumulation-mode MOS varactors to design an NLTL that can simultaneously sharpen both rising and falling edges. There is a good agreement among the theory, simulations, and measurements.", "date": "2005-03-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "40", "number": "3", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "744-752", "id_number": "CaltechAUTHORS:AFSieeejssc05", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AFSieeejssc05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2005.843639", "primary_object": { "basename": "AFSieeejssc05.pdf", "url": "https://authors.library.caltech.edu/records/q69fw-36m49/files/AFSieeejssc05.pdf" }, "resource_type": "article", "pub_year": "2005", "author_list": "Afshari, Ehsan and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/a09wf-vew30", "eprint_id": 10906, "eprint_status": "archive", "datestamp": "2023-08-22 03:09:31", "lastmod": "2023-10-16 23:10:20", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Rylyakov-A", "name": { "family": "Rylyakov", "given": "Alexander" } }, { "id": "Rylov-S", "name": { "family": "Rylov", "given": "Sergey" } }, { "id": "Meghelli-M", "name": { "family": "Meghelli", "given": "Mounir" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 10Gb/s eye-opening monitor in 0.13\u03bcm CMOS", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS integrated circuits; adaptive equalisers; signal sampling; 0.13 micron; 1.2 V; 10 Gbit/s; 2D error diagram; 330 mW; CMOS; asymmetric eyes; eye-opening monitor", "note": "\u00a9 Copyright 2005 IEEE. Reprinted with permission. \n\nPublication Date: 6-10 Feb. 2005. \n\nThe authors thank J. Tierno, T. Zwick, M. Beakes, S. Gowda, F. Friedman, M. Soyuer, M. Oprysko of IBM and J. Ewen of JDSU for technical feedback and support.", "abstract": "An eye-opening monitor circuit in 0.13 \u03bcm CMOS operates from 1 to 12.5Gbit/s at 1.2V supply. It maps the input eye to a 2D error diagram with 68dB mask error dynamic range. Left and right halt of the eye are monitored separately to capture asymmetric eyes. Tested input amplitude is from 50 to 400mV. The chip consumes 330mW and works at 10Gb/s with a supply voltage as low as 1V.", "date": "2005-02-01", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "332-333 + 602", "id_number": "CaltechAUTHORS:ANAisscc05", "isbn": "0780389042", "book_title": "IEEE International Solid-State Circuits Conference, Digest of Technical Papers. ISSCC '05. San Francisco, CA", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:ANAisscc05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2005.1494004", "primary_object": { "basename": "ANAisscc05.pdf", "url": "https://authors.library.caltech.edu/records/a09wf-vew30/files/ANAisscc05.pdf" }, "resource_type": "book_section", "pub_year": "2005", "author_list": "Analui, Behnam; Rylyakov, Alexander; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/hkwze-e7k27", "eprint_id": 435, "eprint_status": "archive", "datestamp": "2023-08-22 03:09:09", "lastmod": "2023-10-13 21:48:45", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hashemi-H", "name": { "family": "Hashemi", "given": "Hossein" } }, { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 24-GHz SiGe Phased-Array Receiver\u2014LO Phase-Shifting Approach", "ispublished": "pub", "full_text_status": "public", "keywords": "BiCMOS integrated circuits, phase-locked loops, phased arrays, radio receivers, silicon, voltage-controlled oscillators (VCOs)", "note": "\"\u00a92005 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received April 23, 2004; revised August 11, 2004. This work was supported in part by the National Science Foundation and by the Lee Center for Advanced Networking. \n\nThe authors would like to thank A. Natarajan, R. Aparicio, D. Lu, M. Morgan, and Prof. D. Rutledge, all of the California Institute of Technology, Pasadena, for valuable technical discussions. The authors acknowledge N. Wadefalk, and A. Shen, both of the California Institute of Technology, both of whom assisted in printed circuit board and microwave package preparation. The original version of the software for programming the phased-array receiver was provided by R. Chunara, California Institute of Technology.", "abstract": "A local-oscillator phase-shifting approach is introduced to implement a fully integrated 24-GHz phased-array receiver using an SiGe technology. Sixteen phases of the local oscillator are generated in one oscillator core, resulting in a raw beam-forming accuracy of 4 bits. These phases are distributed to all eight receiving paths of the array by a symmetric network. The appropriate phase for each path is selected using high-frequency analog multiplexers. The raw beam-steering resolution of the array is better than 10 [degrees] for a forward-looking angle, while the array spatial selectivity, without any amplitude correction, is better than 20 dB. The overall gain of the array is 61 dB, while the array improves the input signal-to-noise ratio by 9 dB.", "date": "2005-02-01", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "53", "number": "2", "publisher": "IEEE Transactions on Microwave Theory and Techniques", "pagerange": "614-626", "id_number": "CaltechAUTHORS:HASieeetmtt05", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HASieeetmtt05", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TMTT.2004.841218", "primary_object": { "basename": "HASieeetmtt05.pdf", "url": "https://authors.library.caltech.edu/records/hkwze-e7k27/files/HASieeetmtt05.pdf" }, "resource_type": "article", "pub_year": "2005", "author_list": "Hashemi, Hossein; Guan, Xiang; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/sx1qm-c8571", "eprint_id": 23688, "eprint_status": "archive", "datestamp": "2023-08-19 14:57:21", "lastmod": "2024-01-13 05:16:22", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J", "name": { "family": "Buckwalter", "given": "James" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Crosstalk-induced jitter equalization", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 2005 IEEE. Issue date Sept 21, 2005. Date of current version January 10, 2005. The authors would like to thank Behnam Analui at Caltech for helpful discussions. The support of IBM for access to 8HP technology and graduate fellowship funding is appreciated.\nAdditionally, we thank the Rogers Corporation for the generous donation of duroid.\n\nPublished - BUCcicc05.pdf
", "abstract": "A novel jitter equalization circuit is presented that addresses crosstalk-induced jitter in high-speed communication links. A simplified model of electromagnetic coupling demonstrates the generation of crosstalk-induced jitter. This model suggests an equalizer that compensates for the data-induced electromagnetic coupling between adjacent links. Additionally, a data-dependent jitter equalizer that provides separate adjustments of rising and falling edge deviations is presented. The circuits are implemented using 130 nm MOSFETs and operate at 5-10Gb/s. The results demonstrate reduced deterministic jitter and lower bit-error rate. At 10Gb/s, the crosstalk-induced jitter equalizer opens the eye at BER of 10^(-12) from 17ps to 45ps.", "date": "2005", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "409-412", "id_number": "CaltechAUTHORS:20110517-090627598", "isbn": "0-7803-9023-7", "book_title": "Proceedings of the IEEE 2005 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110517-090627598", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "IBM" } ] }, "other_numbering_system": { "items": [ { "id": "8824425", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2005.1568692", "primary_object": { "basename": "BUCcicc05.pdf", "url": "https://authors.library.caltech.edu/records/sx1qm-c8571/files/BUCcicc05.pdf" }, "resource_type": "book_section", "pub_year": "2005", "author_list": "Buckwalter, James and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/f55vb-c4j85", "eprint_id": 436, "eprint_status": "archive", "datestamp": "2023-08-22 02:46:55", "lastmod": "2023-10-13 21:48:47", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Hashemi-H", "name": { "family": "Hashemi", "given": "Hossein" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Fully Integrated 24-GHz Eight-Element Phased-Array Receiver in Silicon", "ispublished": "pub", "full_text_status": "public", "keywords": "BiCMOS integrated circuits, low-noise amplifiers, phase shifting, phased arrays, receivers, wireless communications", "note": "\"\u00a92004 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received April 19, 2004; revised July 15, 2004. \n\nThe authors would like to acknowledge IBM Corporation for chip fabrication. They would also like to thank R. Aparicio, A. Natarajan, A. Komijani, D. Lu, M. Morgan, and Prof. D. Rutledge for valuable technical discussions, N. Wadefalk and A. Shen for their assistance preparing the printed circuit board and microwave package, and R. Chunara for providing the original version of the software for programming the phased-array receiver.", "abstract": "This paper reports the first fully integrated 24-GHz eight-element phased-array receiver in a SiGe BiCMOS technology. The receiver utilizes a heterodyne topology and the signal combining is performed at an IF of 4.8 GHz. The phase-shifting with 4 bits of resolution is realized at the LO port of the first down-conversion mixer. A ring LC voltage-controlled oscillator (VCO) generates 16 different phases of the LO. An integrated 19.2-GHz frequency synthesizer locks the VCO frequency to a 75-MHz external reference. Each signal path achieves a gain of 43 dB, a noise figure of 7.4 dB, and an IIP3 of -11 dBm. The eight-path array achieves an array gain of 61 dB and a peak-to-null ratio of 20 dB and improves the signal-to-noise ratio at the output by 9 dB.", "date": "2004-12-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "39", "number": "12", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "2311-2320", "id_number": "CaltechAUTHORS:GUAieeejssc04b", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:GUAieeejssc04b", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2004.836339", "primary_object": { "basename": "GUAieeejssc04b.pdf", "url": "https://authors.library.caltech.edu/records/f55vb-c4j85/files/GUAieeejssc04b.pdf" }, "resource_type": "article", "pub_year": "2004", "author_list": "Guan, Xiang; Hashemi, Hossein; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/20wrx-ycr38", "eprint_id": 3158, "eprint_status": "archive", "datestamp": "2023-08-22 02:43:26", "lastmod": "2023-10-16 15:40:29", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 10Gb/s data-dependent jitter equalizer", "ispublished": "unpub", "full_text_status": "public", "keywords": "BiCMOS integrated circuits, equalisers, phase noise, synchronisation, timing jitter", "note": "\u00a9 Copyright 2004 IEEE. Reprinted with permission", "abstract": "An equalization circuit is presented that reduces data-dependent jitter by aligning data transition deviations. This paper presents an analytic solution to data-dependent jitter and demonstrates its impact on the phase noise of the recovered clock. A data-dependent jitter equalizer is presented that compensates for impairment of the channel and lowers the phase noise of the recovered clock. The circuit is implemented in a SiGe BiCMOS process and operates at 10 Gb/s. It suppresses phase noise resulting from data-dependent jitter by 10 dB.", "date": "2004-11-22", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "39-42", "id_number": "CaltechAUTHORS:BUCcicc04", "isbn": "0-7803-8495-4", "book_title": "IEEE Custom Integrated Circuits Conference, 2004, Orlando, FL, 3-6 October 2004", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BUCcicc04", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "primary_object": { "basename": "BUCcicc04.pdf", "url": "https://authors.library.caltech.edu/records/20wrx-ycr38/files/BUCcicc04.pdf" }, "resource_type": "book_section", "pub_year": "2004", "author_list": "Buckwalter, James and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/j4wr4-zpv17", "eprint_id": 3156, "eprint_status": "archive", "datestamp": "2023-08-22 02:32:46", "lastmod": "2023-10-16 15:40:24", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James" } }, { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Data-dependent jitter and crosstalk-induced bounded uncorrelated jitter in copper interconnects", "ispublished": "unpub", "full_text_status": "public", "keywords": "Jitter, Data-dependent Jitter, Bounded Uncorrelated Jitter, Interconnect, Crosstalk", "note": "\u00a9 Copyright 2004 IEEE. Reprinted with permission.", "abstract": "This paper resolves the jitter impairment of non-return-to-zero data in transmission lines. The limited bandwidth of the transmission line introduces data-dependent jitter. Crosstalk between neighbouring lines results in bounded uncorrelated jitter in the data eye. An analytical approach to representing data-dependent jitter and crosstalk-induced bounded uncorrelated jitter is presented. Comparison with jitter measurements of microstrip lines on FR4 board demonstrated accuracy to within 15% of the predictions for deterministic jitter.", "date": "2004-10-08", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Los Alamitos, CA", "pagerange": "1627-1630", "id_number": "CaltechAUTHORS:BUCmttsims04", "isbn": "0-7803-8331-1", "book_title": "IEEE MTT-S International Microwave Symposium Digest, 2004", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BUCmttsims04", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/MWSYM.2004.1338895", "primary_object": { "basename": "BUCmttsims04.pdf", "url": "https://authors.library.caltech.edu/records/j4wr4-zpv17/files/BUCmttsims04.pdf" }, "resource_type": "book_section", "pub_year": "2004", "author_list": "Buckwalter, James; Analui, Behnam; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jqfsx-98w27", "eprint_id": 27224, "eprint_status": "archive", "datestamp": "2023-08-19 14:20:50", "lastmod": "2023-10-24 16:58:29", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 24GHz, +14.5dBm Fully-Integrated Power Amplifier in 0.18\u00b5m CMOS", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2004 IEEE. \nIssue Date: 3-6 Oct. 2004. \nDate of Current Version: 22 November 2004. \nThe authors would like to thank A. Natarajan, H. Hashemi, M. Morgan, E. Afshari, N. Wadefalk and A. Shen of Caltech for their assistance. The technical support for CAD tools from Agilent Technologies and Zeland Sohare, Inc. is also appreciated.", "abstract": "A 24 GHz, +14.5 dBm fully-integrated power amplifier with 50 \u03a9 input and output matching is fabricated using 0.18 \u03bcm CMOS transistors. To enable this, a shielded-substrate coplanar waveguide transmission line structure is used to achieve low loss and small area. The power amplifier achieves a power gain of 7 dB and a maximum single-ended output power of +14.5 dBm with a 3 dB bandwidth of 3.1 GHz, while drawing 100 mA from a 2.8 V supply. The chip area is 1.26 mm^2.", "date": "2004-10", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "561-564", "id_number": "CaltechAUTHORS:20111014-080912895", "isbn": "0-7803-8495-4", "book_title": "Proceedings of the IEEE 2004 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111014-080912895", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8181041", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2004.1358884", "resource_type": "book_section", "pub_year": "2004", "author_list": "Komijani, Abbas and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/7ygx6-aev32", "eprint_id": 24925, "eprint_status": "archive", "datestamp": "2023-08-19 14:20:38", "lastmod": "2023-10-24 15:27:14", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Mandegaran-S", "name": { "family": "Mandegaran", "given": "Sam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A breakdown voltage doubler for high voltage swing drivers", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2004 IEEE.\nIssue Date: 3-6 Oct. 2004.\nDate of Current Version: 22 November 2004.", "abstract": "A novel breakdown voltage (BV) doubler is introduced that makes it possible to generate high output voltage swings using transistors with low breakdown voltages. The timing analysis of the stage is used to optimize its dynamic response. A 10Gb/s optical modulator driver with a differential output voltage swing of 8V on a 50\u03a9 load was implemented in a SiGe BiCMOS process. It uses the BV-doubler topology to achieve output swings twice the collector-emitter breakdown voltage without stressing any single transistor.", "date": "2004-10", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "103-106", "id_number": "CaltechAUTHORS:20110818-085428330", "isbn": "0-7803-8495-4", "book_title": "Proceedings of the IEEE 2004 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110818-085428330", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8170098", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2004.1358747", "resource_type": "book_section", "pub_year": "2004", "author_list": "Mandegaran, Sam and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/t9h3d-7vs21", "eprint_id": 11477, "eprint_status": "archive", "datestamp": "2023-08-22 02:26:11", "lastmod": "2023-10-16 23:49:01", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aparicio-R", "name": { "family": "Aparicio", "given": "R." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Circular-geometry oscillators", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS analogue integrated circuits; MMIC oscillators; inductors; integrated circuit noise; low-power electronics; phase noise; voltage-controlled oscillators; CMOS oscillator; circular-geometry VCO; circular-geometry oscillator; figures of merit; high Q slab inductor; on-chip inductors", "note": "\u00a9 Copyright 2004 IEEE. Reprinted with permission. \n\nPublication Date: 15-19 Feb. 2004. On page(s): 378 - 533 Vol.1.\n\nPublished - APAisscc04.pdf
Presentation - APAisscc04a.pdf
", "abstract": "5.3GHz 0.18\u03bcm CMOS circular-geometry oscillator exploits high Q of slab inductors. The oscillator draws 10mA from 1.4V with a phase noise of -147.3dBc/Hz at 10MHz offset. A second 5.4GHz circular-geometry VCO has a tuning range of 8% with phase noise of -142.2dBc/Hz at 10MHz offset while drawing 12mA from a 1.8V supply.", "date": "2004-09-13", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "id_number": "CaltechAUTHORS:APAissccc04", "isbn": "0-7803-8267-6", "book_title": "IEEE International Solid-State Circuits Conference, ISSCC 2004.,", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:APAissccc04", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2004.1332752", "primary_object": { "basename": "APAisscc04.pdf", "url": "https://authors.library.caltech.edu/records/t9h3d-7vs21/files/APAisscc04.pdf" }, "related_objects": [ { "basename": "APAisscc04a.pdf", "url": "https://authors.library.caltech.edu/records/t9h3d-7vs21/files/APAisscc04a.pdf" } ], "resource_type": "book_section", "pub_year": "2004", "author_list": "Aparicio, R. and Hajimiri, A." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jr2qh-w6w38", "eprint_id": 3162, "eprint_status": "archive", "datestamp": "2023-08-22 02:20:21", "lastmod": "2023-10-16 15:40:40", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James" } }, { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Predicting data-dependent jitter", "ispublished": "pub", "full_text_status": "public", "keywords": "Data-dependent jitter (DDJ), intersymbol interference, jitter", "note": "\u00a9 Copyright 2004 IEEE. Reprinted with permission \n\nManuscript received January 12, 2004; revised March 10, 2004. [Posted online: 2004-09-20] This work was supported by the Lee Center for Advanced Networking. This paper was recommended by Associate Editor C.-W. Wu. \n\nThe authors would like to thank members of the Caltech High-Speed Integrated Circuit (CHIC) group for their suggestions, and the reviewers for their comments and attention.", "abstract": "An analysis for calculating data-dependent jitter (DDJ) in a first-order system is introduced. The predicted DDJ features unique threshold crossing times with self-similar geometry. An approximation for DDJ in second-order systems is described in terms of the damping factor and natural frequency. Higher order responses demonstrate conditions under which unique threshold crossing times do not exist and total jitter is minimized. The DDJ predictions are verified with jitter measurements in a bandwidth-limited amplifier. The predictions for both first and second-order systems anticipate the features of the observed jitter.", "date": "2004-09", "date_type": "published", "publication": "IEEE Transactions on Circuits and Systems II: Express Briefs", "volume": "51", "number": "9", "publisher": "IEEE", "pagerange": "453-457", "id_number": "CaltechAUTHORS:BUCieeetcsII04", "issn": "1549-7747", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BUCieeetcsII04", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TCSII.2004.834537", "primary_object": { "basename": "BUCieeetcsII04.pdf", "url": "https://authors.library.caltech.edu/records/jr2qh-w6w38/files/BUCieeetcsII04.pdf" }, "resource_type": "article", "pub_year": "2004", "author_list": "Buckwalter, James; Analui, Behnam; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/5bbzz-wx990", "eprint_id": 463, "eprint_status": "archive", "datestamp": "2023-08-22 02:16:14", "lastmod": "2023-10-13 21:49:34", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Bandwidth Enhancement for Transimpedance Amplifiers", "ispublished": "pub", "full_text_status": "public", "keywords": "Bandwidth enhancement, integrated circuits, low-pass filter, matching networks, passive networks, transimpedance amplifier (TIA), wide-band amplifiers", "note": "\"\u00a92004 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received December 9, 2003; revised March 19, 2004. \n\nThe authors would like to thank Jazz Semiconductor (formerly Conexant Systems) for fabricating the chip and M. Racanelli, S. Stetson, and A. Karroy for their support. They also acknowledge I. Aoki, H. Hashemi, D. Ham, J. Buckwalter, C. White, A. Komijani, H. Wu, S. Mukhtar, and S. Kee from Caltech's CHIC group for useful discussions and comments on the manuscript. They would also like to thank the Lee Center for Advanced Networking for supporting this project.", "abstract": "A technique for bandwidth enhancement of a given amplifier is presented. Adding several interstage passive matching networks enables the control of transfer function and frequency response behavior. Parasitic capacitances of cascaded gain stages are isolated from each other and absorbed into passive networks. A simplified design procedure, using well-known low-pass filter component values, is introduced. To demonstrate the feasibility of the method, a CMOS transimpedance amplifier (TIA) is implemented in a 0.18-\u03bcm BiCMOS technology. It achieves 3 dB bandwidth of 9.2 GHz in the presence of a 0.5-pF photodiode capacitance. This corresponds to a bandwidth enhancement ratio of 2.4 over the amplifier without the additional passive networks. The trans-resistance gain is 54 dB[ohm], while drawing 55 mA from a 2.5-V supply. The input sensitivity of the TIA is -18 dBm for a bit error rate of 10^-12.", "date": "2004-08-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "39", "number": "8", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "1263-1270", "id_number": "CaltechAUTHORS:ANAieeejssc04", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:ANAieeejssc04", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2004.831783", "primary_object": { "basename": "ANAieeejssc04.pdf", "url": "https://authors.library.caltech.edu/records/5bbzz-wx990/files/ANAieeejssc04.pdf" }, "resource_type": "article", "pub_year": "2004", "author_list": "Analui, Behnam and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/5veaq-wz633", "eprint_id": 437, "eprint_status": "archive", "datestamp": "2023-08-22 02:16:09", "lastmod": "2023-10-13 21:48:49", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "A." } }, { "id": "Natarajan-A", "name": { "family": "Natarjan", "given": "A." }, "orcid": "0000-0003-3648-3844" }, { "id": "Chunara-R", "name": { "family": "Chunara", "given": "R." } }, { "id": "Guan-X", "name": { "family": "Guan", "given": "X." } }, { "id": "Hashemi-H", "name": { "family": "Hashemi", "given": "H." } } ] }, "title": "Phased Array Systems in Silicon", "ispublished": "pub", "full_text_status": "public", "keywords": "24 GHz; MIMO system; array gain; commercial silicon process technology; multiple input multiple output system; phased array system; silicon-based fully integrated phased array system; spatial directivity; spectral efficiency; vehicular radar application; wireless communication", "note": "\"\u00a92004 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\"", "abstract": "Phased array systems, a special case of MIMO systems, take advantage of spatial directivity and array gain to increase spectral efficiency. Implementing a phased array system at high frequency in a commercial silicon process technology presents several challenges. This article focuses on the architectural and circuit-level trade-offs involved in the design of the first silicon-based fully integrated phased array system operating at 24 GHz. The details of some of the important circuit building blocks are also discussed. The measured results demonstrate the feasibility of using integrated phased arrays for wireless communication and vehicular radar applications at 24 GHz.", "date": "2004-08-01", "date_type": "published", "publication": "IEEE Communications Magazine", "volume": "42", "number": "8", "publisher": "IEEE Communications Magazine", "pagerange": "122-130", "id_number": "CaltechAUTHORS:HAJieeecm04", "issn": "0163-6804", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAJieeecm04", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/MCOM.2004.1321403", "primary_object": { "basename": "HAJieeecm04.pdf", "url": "https://authors.library.caltech.edu/records/5veaq-wz633/files/HAJieeecm04.pdf" }, "resource_type": "article", "pub_year": "2004", "author_list": "Hajimiri, A.; Komijani, A.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/558ph-xfk60", "eprint_id": 25352, "eprint_status": "archive", "datestamp": "2023-08-22 02:03:03", "lastmod": "2024-01-13 05:23:40", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Buckwalter-J-F", "name": { "family": "Buckwalter", "given": "James" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "An Active Analog Delay and the Delay Reference Loop", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "delay reference loop; delay locked loop; true time delay; analog delay; equalization; tapped-delay lines", "note": "\u00a9 2004 IEEE. Issue Date: 6-8 June 2004. Date of Current Version: 09 August 2004. We would like to thank Behnam Analui and Hossein Hashemi for their guidance and the members of CHIC at Caltech for suggestions about the design, and IBM corp. for chip fabrication.", "abstract": "Wireline signal processing circuits such as transversal equalizers rely on true time delay. An active analog delay stage is proposed that requires a sixteenth of the area of a comparable LC delay line. A delay reference loop is also presented to tune the delay stage against process, voltage, and temperature variations. A reference signal is introduced to tune the delay. The impact of non-idealities must be considered, to understand the relationship between the reference frequency and the locked time delay. A SiGe BiCMOS implementation of the active analog delay stage and delay reference loop is presented that operates to 10 Gb/s.", "date": "2004-06-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, N.J.", "pagerange": "17-20", "id_number": "CaltechAUTHORS:20110916-101624435", "isbn": "0-7803-8333-8", "book_title": "2004 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110916-101624435", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8008761", "name": "INSPEC Accession Number:" } ] }, "contributors": { "items": [ { "id": "Deval-Y", "name": { "family": "Deval", "given": "Y." } } ] }, "doi": "10.1109/RFIC.2004.1320512", "resource_type": "book_section", "pub_year": "2004", "author_list": "Buckwalter, James and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/p8267-e2v04", "eprint_id": 24993, "eprint_status": "archive", "datestamp": "2023-08-23 16:57:03", "lastmod": "2024-01-13 05:22:16", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Hashemi-H", "name": { "family": "Hashemi", "given": "Hossein" } }, { "id": "Komijani-A", "name": { "family": "Komijani", "given": "Abbas" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Multiple phase generation and distribution for a fully-integrated 24-GHz phased-array receiver in silicon", "ispublished": "unpub", "full_text_status": "public", "keywords": "RF receiver; phased-array; wireless communication; beam forming; multiple antenna systems; transmission lines", "note": "\u00a9 2004 IEEE.\nIssue Date: 6-8 June 2004.\nDate of Current Version: 09 August 2004. This work is supported by Lee Center of Advanced Networking, NSF-ERC and NSF. The authors would like to thank R. Chunara, R. Aparicio, D. Lu, A. Natarajan, and Prof. D. Rutledge for their assistance.\n\nPublished - GUArfic04.pdf
", "abstract": "This paper presents an on-chip multiphase LO generation and distribution technique used to implement a fully-integrated 24-GHz 8-path phased-array receiver in silicon. Sixteen LO phases are generated by an LC ring oscillator and distributed by a symmetric network to all eight paths. The 8-path array achieves a phase shifting resolution of 22.5\u00b0 and a total array gain of 61dB.", "date": "2004-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "229-232", "id_number": "CaltechAUTHORS:20110823-101014576", "isbn": "0-7803-8333-8", "book_title": "2004 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110823-101014576", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Lee Center for Advanced Networking" }, { "agency": "NSF-ERC" }, { "agency": "NSF" } ] }, "other_numbering_system": { "items": [ { "id": "8016237", "name": "INSPEC Accession Number" } ] }, "contributors": { "items": [ { "id": "Deval -Y", "name": { "family": "Deval", "given": "Y." } } ] }, "doi": "10.1109/RFIC.2004.1320580", "primary_object": { "basename": "GUArfic04.pdf", "url": "https://authors.library.caltech.edu/records/p8267-e2v04/files/GUArfic04.pdf" }, "resource_type": "book_section", "pub_year": "2004", "author_list": "Guan, Xiang; Hashemi, Hossein; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/es965-3et79", "eprint_id": 27211, "eprint_status": "archive", "datestamp": "2023-08-22 02:00:44", "lastmod": "2024-01-13 05:43:32", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Papalias-T-A", "name": { "family": "Papalias", "given": "Tamara A." } }, { "id": "Lee-T-T", "name": { "family": "Lee", "given": "Thomas T." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Dutton-R-W", "name": { "family": "Dutton", "given": "Robert W." } }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "Reprogrammable, Wide Tuning Range 1.6GHz CMOS VCO with\n Low Phase Noise Variation", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Phase noise; voltage-controlled oscillators; wide tuning range; reprogrammable; spiral inductance", "note": "\u00a9 2004 IEEE.\n\nIssue Date: 6-8 June 2004; Date of Current Version: 09 August 2004.\n\nThe authors wish to thank National Semiconductor and\nHewlett-Packard for fabricating numerous prototype\noscillators for this work.", "abstract": "Phase noise can vary dramatically over the tying range of a VCO, but the published literature often obscures this fad by reporting phase noise measurements at only a small number of selected turning voltages. It is diffcult to obtain simultaneously a large tuning range, low phase noise, and small phase noise variation, particularly while accommodating manufacturing and packaging tolerances. This work describes the first use of native EPROM devices (available in every standard CMOS technology) and switched reactances to relax these tradeoffs. In addition to permitting post-packaging compensation for manufacturing variations, the inherent reprogrammability of they cells also enables the rapid prototyping and optional\nreconfiguration of RF and mixed-signal systems. This\ntechnology allows the realization of a fully-integrated\noscillator in 0.25\u03bcm CMOS with a phase noise variation of\nunder lOdB (compared with 40dB variation in a conventional\nimplementation) over a 1.25GHz to 1.92GHz (42%) tuning\nrange. The oscillator consumes 23mW from a 3V supply\nwhile exhibiting a phase noise of better than -93dBdHz at\n100kHz offset from a nominal 1.58GHz center frequency.", "date": "2004-06", "date_type": "published", "publisher": "IEEE", "pagerange": "479-482", "id_number": "CaltechAUTHORS:20111013-115154839", "isbn": "0-7803-8333-8", "book_title": "2004 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111013-115154839", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8016269", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/RFIC.2004.1320659", "resource_type": "book_section", "pub_year": "2004", "author_list": "Papalias, Tamara A.; Lee, Thomas T.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/d7m7z-82693", "eprint_id": 439, "eprint_status": "archive", "datestamp": "2023-08-22 01:31:12", "lastmod": "2023-10-13 21:48:54", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 24-GHz CMOS Front-End", "ispublished": "pub", "full_text_status": "public", "keywords": "CMOS analog integrated circuits, integrated circuits noise, receiver front-ends, RF amplifiers, wireless communications", "note": "\"\u00a92004 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received April 4, 2003; revised September 26, 2003. \n\nThe authors would like to thank Jazz Semiconductor Inc. for fabrication of the front-end. They are grateful for the support of Lee Center, NSF-ERC, and NSF. They are especially grateful for the help and advice of M. Racanelli, S. Stetson, A. Karroy, and M. Knight of Jazz Semiconductor, and Prof. D. Rutledge, H. Hashemi, H.Wu, D. Lu, D. Ham, I. Aoki, S. Kee, L. Cheung, B. Analui, and A. Natarajan of Caltech.", "abstract": "This paper reports the first 24-GHz CMOS front-end in a 0.18-\u00b5m process. It consists of a low-noise amplifier (LNA) and a mixer and downconverts an RF input at 24GHz to an IF of 5 GHz. It has a power gain of 27.5 dB and an overall noise figure of 7.7 dB with an input return loss, S[sub]11 of 21 dB consuming 20 mA from a 1.5-V supply. The LNA achieves a power gain of 15 dB and a noise figure of 6 dB on 16 mA of dc current. The LNA's input stage utilizes a common-gate with resistive feedthrough topology. The performance analysis of this topology predicts the experimental results with good accuracy.", "date": "2004-02-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "39", "number": "2", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "368-373", "id_number": "CaltechAUTHORS:GUAieeejssc04a", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:GUAieeejssc04a", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2003.821783", "primary_object": { "basename": "GUAieeejssc04a.pdf", "url": "https://authors.library.caltech.edu/records/d7m7z-82693/files/GUAieeejssc04a.pdf" }, "resource_type": "article", "pub_year": "2004", "author_list": "Guan, Xiang and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ncmch-j3k74", "eprint_id": 25368, "eprint_status": "archive", "datestamp": "2023-08-19 13:03:14", "lastmod": "2024-01-13 05:23:41", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hashemi-H", "name": { "family": "Hashemi", "given": "Hossein" } }, { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Fully Integrated 24GHz 8-Path Phased-Array Receiver in Silicon", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2004 IEEE.\n\nIssue Date: 15-19 Feb. 2004; Date of Current Version: 13 September 2004.", "abstract": "A fully integrated 8-channel phased-array receiver at 24 GHz is demonstrated. Each channel achieves a gain of 43 dB, noise figure of 8 dB, and an IIP3 of -11dBm, consuming 29 mA of current from a 2.5 V supply. The 8-channel array has a beam-forming resolution of 22.5\u00b0, a peak-to- ratio of 20 dB (4-bits), a total array gain of 61 dB, and improves the signal-to-noise ratio by 9 dB.", "date": "2004-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "390-391", "id_number": "CaltechAUTHORS:20110920-115356193", "isbn": "0-7803-8267-6", "book_title": "2004 IEEE International Solid-State Circuits Conference, Digest of Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20110920-115356193", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "8056920", "name": "INSPEC Accession Number" } ] }, "contributors": { "items": [ { "id": "Fujino-L-C", "name": { "family": "Fujino", "given": "L. C." } } ] }, "doi": "10.1109/ISSCC.2004.1332758", "resource_type": "book_section", "pub_year": "2004", "author_list": "Hashemi, Hossein; Guan, Xiang; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2s3fh-p3t51", "eprint_id": 438, "eprint_status": "archive", "datestamp": "2023-08-22 01:11:45", "lastmod": "2023-10-13 21:48:51", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wu-H", "name": { "family": "Wu", "given": "Hui" } }, { "id": "Tierno-J-A", "name": { "family": "Tierno", "given": "Jose A." } }, { "id": "Pepeljugoski-P", "name": { "family": "Pepeljugoski", "given": "Petar" } }, { "id": "Schaub-J", "name": { "family": "Schaub", "given": "Jeremy" } }, { "id": "Gowda-S", "name": { "family": "Gowda", "given": "Sudhir" } }, { "id": "Kash-J-A", "name": { "family": "Kash", "given": "Jeffrey A." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Integrated Transversal Equalizers in High-Speed Fiber-Optic Systems", "ispublished": "pub", "full_text_status": "public", "keywords": "Dispersion, distributed circuit, equalization, fiber-optic communications, transversal filter", "note": "\"\u00a92003 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received April 22, 2003; revised July 7, 2003. This work was supported by NIST/ATP through the Photonics CAD Consortium, National Science Foundation, under Contracts ECS-0083220 and ECS-0239343, and by the Lee Center for Advanced Networking. \n\nThe authors would like to thank M. Oprysko, M. Soyuer, D. Friedman, H. Ainspan, J. Yang, U. Pfeifer, D. Beisser, and R. John at IBM Research for their help and support in this project. They also appreciate discussion with D. Ham, H. Hashemi, B. Analui, X. Guan, and S. Kee at Caltech.", "abstract": "Intersymbol interference (ISI) caused by intermodal dispersion in multimode fibers is the major limiting factor in the achievable data rate or transmission distance in high-speed multimode fiber-optic links for local area networks applications. Compared with optical-domain and other electrical-domain dispersion compensation methods, equalization with transversal filters based on distributed circuit techniques presents a cost-effective and low-power solution. The design of integrated distributed transversal equalizers is described in detail with focus on delay lines and gain stages. This seven-tap distributed transversal equalizer prototype has been implemented in a commercial 0.18-\u00b5m SiGe BiCMOS process for 10-Gb/s multimode fiber-optic links. A seven-tap distributed transversal equalizer reduces the ISI of a 10-Gb/s signal after 800 m of 50-\u00b5m multimode fiber from 5 to 1.38 dB, and improves the bit-error rate from about 10^-5 to less than 10^-12.", "date": "2003-12-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "38", "number": "12", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "2131-2137", "id_number": "CaltechAUTHORS:WUHieeejssc03", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:WUHieeejssc03", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "primary_object": { "basename": "WUHieeejssc03.pdf", "url": "https://authors.library.caltech.edu/records/2s3fh-p3t51/files/WUHieeejssc03.pdf" }, "resource_type": "article", "pub_year": "2003", "author_list": "Wu, Hui; Tierno, Jose A.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/jx712-nny11", "eprint_id": 4728, "eprint_status": "archive", "datestamp": "2023-08-22 01:00:54", "lastmod": "2023-10-16 17:54:40", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wu-H", "name": { "family": "Wu", "given": "Hui" } }, { "id": "Tierno-J-A", "name": { "family": "Tierno", "given": "J." } }, { "id": "Pepeljugoski-P", "name": { "family": "Pepeljugoski", "given": "P." } }, { "id": "Schaub-J", "name": { "family": "Schaub", "given": "J." } }, { "id": "Gowda-S", "name": { "family": "Gowda", "given": "S." } }, { "id": "Kash-J-A", "name": { "family": "Kash", "given": "J." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Differential 4-tap and 7-tap transverse filters in SiGe for 10Gb/s multimode fiber optic link equalization", "ispublished": "unpub", "full_text_status": "public", "keywords": "BiCMOS integrated circuits; FIR filters; Ge-Si alloys; equalizers; intersymbol interference; fiber optic communications", "note": "\u00a9 Copyright 2003 IEEE. Reprinted with permission. \n\nThe authors wish to acknowledge the help of Ullrich Pfeiffer, Don Beisser, and Richard John in building the test cards. This work was partially supported by NIST/ATP, the Lee Center, and NSF.", "abstract": "Differential 4-tap and 7-tap transverse filters are designed in a 0.18 \u00b5m SiGe BiCMOS technology for equalization of 10Gb/s multimode fiber optic signals. The 7-tap equalizer reduced the ISI of a 10Gb/s signal received through 300m of 50 \u00b5m noncompliant next generation multimode fiber from 4.2dB to 0.8dB. The circuit dissipates 40mW from a 3.3V supply.", "date": "2003-10-20", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Los Alamitos, CA", "pagerange": "10.4", "id_number": "CaltechAUTHORS:WUHcicc03", "isbn": "0-7803-7707-9", "book_title": "Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, DoubleTree Hotel, September 21-24, 2003, San Jose, California", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:WUHcicc03", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2003.1234257", "primary_object": { "basename": "WUHcicc03.pdf", "url": "https://authors.library.caltech.edu/records/jx712-nny11/files/WUHcicc03.pdf" }, "resource_type": "book_section", "pub_year": "2003", "author_list": "Wu, Hui; Tierno, J.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/w8npb-1fj62", "eprint_id": 27332, "eprint_status": "archive", "datestamp": "2023-08-19 12:04:36", "lastmod": "2024-01-13 05:43:53", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Kash-J", "name": { "family": "Kash", "given": "J." } }, { "id": "Baks-C", "name": { "family": "Baks", "given": "C." } }, { "id": "Gowda-S", "name": { "family": "Gowda", "given": "S." } }, { "id": "Graham-L", "name": { "family": "Graham", "given": "L." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" }, { "id": "Haymes-C", "name": { "family": "Haymes", "given": "C." } }, { "id": "Jewell-J", "name": { "family": "Jewell", "given": "J." } }, { "id": "Kucharski-D", "name": { "family": "Kucharski", "given": "D." } }, { "id": "Kuchta-D", "name": { "family": "Kuchta", "given": "D." } }, { "id": "Kwark-Y", "name": { "family": "Kwark", "given": "Y." } }, { "id": "Pepeljugoski-P", "name": { "family": "Pepeljugoski", "given": "P." } }, { "id": "Schaub-J", "name": { "family": "Schaub", "given": "J." } }, { "id": "Schuster-C", "name": { "family": "Schuster", "given": "C." }, "orcid": "0000-0002-1948-2367" }, { "id": "Tierno-J", "name": { "family": "Tierno", "given": "J." } }, { "id": "Wu-H", "name": { "family": "Wu", "given": "H." } } ] }, "title": "Bringing Optics Inside the Box: Recent Progress and Future Trends", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2003 IEEE.\n\nIssue Date: 27-28 Oct. 2003; Date of Current Version: 07 January 2004.\n\nThis work was partially supported by NIST through the Photonics CAD ATP.", "abstract": "Needs and requirements for optical interconnects in next generation servers are outlined. Related results on equalizing and characterizing high speed multimode links, building and testing parallel 12 \u00d7 10 Gbit/sec transceivers, and highly parallel silicon photodetectors are presented.", "date": "2003-10", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "148-149", "id_number": "CaltechAUTHORS:20111020-133215948", "isbn": "0-7803-7888-1", "book_title": "2003 IEEE LEOS Annual Meeting Conference Proceedings", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111020-133215948", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "NIST Photonics CAD ATP" } ] }, "other_numbering_system": { "items": [ { "id": "8095812", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/LEOS.2003.1251644", "resource_type": "book_section", "pub_year": "2003", "author_list": "Kash, J.; Baks, C.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/p8rws-53c82", "eprint_id": 10814, "eprint_status": "archive", "datestamp": "2023-08-22 00:54:09", "lastmod": "2023-10-16 23:07:08", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Afshari-E", "name": { "family": "Afshari", "given": "Ehsan" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Non-linear transmission lines for pulse shaping in silicon", "ispublished": "unpub", "full_text_status": "public", "keywords": "high-frequency transmission lines; nonlinear network analysis; pulse shaping circuits; solitons; varactors; accumulation mode MOS varactors; falling edge sharpening; gradually scaled NLTL; nonlinear transmission lines; pulse degeneration; pulse propagation; pulse shaping; rising edge sharpening; silicon NLTL; soliton line", "note": "\u00a9 Copyright 2003 IEEE. Reprinted with permission. \n\nPublication Date: 21-24 Sept. 2003. \n\nAuthors would like to thank helpful discussions with D. Ham, H. Wu, A. Komijani, C. White, J. Buckalter, M. Taghivand, H. Hashemi, S. Kee, B. Analui, and A. Natarajan of Caltech and Prof. M. Horowitz of Stanford University. They also acknowledge the generous support of Lee Center, IBM Corp., Agilent Tech., and NSF.", "abstract": "Non-linear transmission limes (NLTL) are used for pulse shaping. We developed the theory of pulse propagation through the NLTL. The problem of a wide pulse degenerating into multiple pulses rather than a single pulse is solved by using a novel gradually scaled NLTL. We exploit certain favorable properties of accumulation mode MOS varactors to design an NLTL that can sharpen both rising and falling edges, simultaneously. There is a good agreement among the theory,\nsimulations, and measurements.", "date": "2003-09-01", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "91-94", "id_number": "CaltechAUTHORS:AFScicc03", "isbn": "0780378423", "book_title": "IEEE Custom Integrated Circuits Conference (CICC '03)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AFScicc03", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/CICC.2003.1249366", "primary_object": { "basename": "AFScicc03.pdf", "url": "https://authors.library.caltech.edu/records/p8rws-53c82/files/AFScicc03.pdf" }, "resource_type": "book_section", "pub_year": "2003", "author_list": "Afshari, Ehsan and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/1fpe7-dyq57", "eprint_id": 10829, "eprint_status": "archive", "datestamp": "2023-08-22 00:54:14", "lastmod": "2023-10-16 23:07:36", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Statistical analysis of integrated passive delay lines", "ispublished": "unpub", "full_text_status": "public", "keywords": "MIM devices; capacitors; inductors; oscillators; passive networks; statistical analysis; LC delay lines; MIM-based delay lines; VPP capacitors; delay variations; delay-based oscillators; integrated passive delay lines; spiral inductors; vertical parallel plate capacitors", "note": "\u00a9 Copyright 2003 IEEE. Reprinted with permission. \n\nPublication Date: 21-24 Sept. 2003. \n\nThe authors acknowledge M. Owrang for assistance in measurement and valuable feedbacks. They are also grateful to A. Komijani, S. Mandegaran, and I. Buck-Walter from Caltecb's CHIC group for useful discussions and helps. They thank Lee Center for Advanced Networking, IBM, and Agilent Technologies for supporting this project.", "abstract": "Statistical properties of integrated passive LC delay lines are investigated. A new variation using spiral inductors and vertical parallel plate (VPP) capacitors is introduced whose delay is primarily determined by the lateral dimensions, resulting in very accurate and repeatable delays. An MIM-based version of this line is also fabricated for comparison. Additionally, LC delay-based oscillators are implemented to compare the variations in active and passive delay elements. Experimental data is obtained from measurement of 27 and 47 sites on two wafers from two different process runs, respectively. The measurements show 0.6% delay variations for VPP-based delay line compared to 1.0% for its MIM-based counterpart.", "date": "2003-09-01", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "107-110", "id_number": "CaltechAUTHORS:ANAcicc03", "isbn": "0780378423", "book_title": "IEEE Custom Integrated Circuits Conference (CICC 2003)", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:ANAcicc03", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/CICC.2003.1249370", "primary_object": { "basename": "ANAcicc03.pdf", "url": "https://authors.library.caltech.edu/records/1fpe7-dyq57/files/ANAcicc03.pdf" }, "resource_type": "book_section", "pub_year": "2003", "author_list": "Analui, Behnam and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/ew4mh-9x724", "eprint_id": 440, "eprint_status": "archive", "datestamp": "2023-08-22 00:35:05", "lastmod": "2023-10-13 21:48:56", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Kee-S-D", "name": { "family": "Kee", "given": "Scott D." } }, { "id": "Aoki-I", "name": { "family": "Aoki", "given": "Ichiro" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "David" } } ] }, "title": "The Class-E/F Family of ZVS Switching Amplifiers", "ispublished": "pub", "full_text_status": "public", "keywords": "Class E, class E/F, class F, harmonic tuning, high-efficiency amplifier, switching power amplifier, zero voltage switching (ZVS)", "note": "\"\u00a92003 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received June 13, 2002. This work was supported by the Jet Propulsion Laboratory, by the Lee Center for Advanced Networking, by the National Science Foundation, by the Army Research Office, and by the Xerox Corporation. \n\nThe authors would also like to thank K. Potter, California Institute of Technology, Pasadena, and J. Davis, Jet Propulsion Laboratory, Pasadena, CA, for their invaluable advice and assistance, as well as B. Kim, Pohang University of Science and Technology, Pohang, Korea, M. Morgan, National Radio Astronomy Observatory, Charlottesville, VA, H. Hashemi, California Institute of Technology, H. Wu, Axiom Microdevices Inc., Orange, CA, and D. Ham, Harvard University, Cambridge, MA, for the helpful discussions.", "abstract": "A new family of switching amplifiers, each member having some of the features of both class E and inverse F, is introduced. These class-E/F amplifiers have class-E features such as incorporation of the transistor parasitic capacitance into the circuit, exact truly switching time-domain solutions, and allowance for zero-voltage-switching operation. Additionally, some number of harmonics may be tuned in the fashion of inverse class F in order to achieve more desirable voltage and current waveforms for improved performance. Operational waveforms for several implementations are presented, and efficiency estimates are compared to class-E.", "date": "2003-06-01", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "51", "number": "6", "publisher": "IEEE Transactions on Microwave Theory and Techniques", "pagerange": "1677-1690", "id_number": "CaltechAUTHORS:KEEieeetmtt03", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:KEEieeetmtt03", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/TMTT.2003.812564", "primary_object": { "basename": "KEEieeetmmt03.pdf", "url": "https://authors.library.caltech.edu/records/ew4mh-9x724/files/KEEieeetmmt03.pdf" }, "resource_type": "article", "pub_year": "2003", "author_list": "Kee, Scott D.; Aoki, Ichiro; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/dyf8n-sr140", "eprint_id": 11474, "eprint_status": "archive", "datestamp": "2023-08-22 00:32:41", "lastmod": "2023-10-16 23:48:54", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aoki-I", "name": { "family": "Aoki", "given": "I." } }, { "id": "Kee-S", "name": { "family": "Kee", "given": "S." } }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "D." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A fully-integrated 1.8-V, 2.8-W, 1.9-GHz, CMOS power amplifier", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS analogue integrated circuits; UHF integrated circuits; UHF power amplifiers; impedance matching; power integrated circuits; CMOS power amplifier; RF CMOS IC; RF power amplifier; distributed active transformer; input matching; output matching; two-stage amplifier", "note": "\u00a9 Copyright 2003 IEEE. Reprinted with permission. \n\nPublication Date: 8-10 June 2003. Date Published in Issue: 2003-07-28.\n\nThe authors would like to thank National Science Foundation, Lee Center for Advanced Networking for support and IBM Corp. for fabrication of the chips.\n\nPublished - AOKrfic03.pdf
", "abstract": "This paper demonstrated the first 2-stage, 2.8W, 1.8V, 1.9GHz fully-integrated DAT power amplifier with 50\u03a9 input and output matching using 0.18\u03bcm CMOS transistors. It has a small-signal gain of 27dB. The amplifier provides 2.8W of power into a 50\u03a9 load with a PAE of 50%.", "date": "2003-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "199-202", "id_number": "CaltechAUTHORS:AOKrfic03", "isbn": "0-7803-7694-3", "book_title": "IEEE Radio Frequency Integrated Circuits (RFIC 2003) Symposium", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AOKrfic03", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "National Science Foundation" }, { "agency": "Lee Center for Advanced Networking, Caltech" } ] }, "contributors": { "items": [ { "name": { "family": "Quach", "given": "Tina" } } ] }, "primary_object": { "basename": "AOKrfic03.pdf", "url": "https://authors.library.caltech.edu/records/dyf8n-sr140/files/AOKrfic03.pdf" }, "resource_type": "book_section", "pub_year": "2003", "author_list": "Aoki, I.; Kee, S.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/haq0x-nke82", "eprint_id": 523, "eprint_status": "archive", "datestamp": "2023-08-22 00:15:14", "lastmod": "2023-10-13 21:51:17", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Ham-D", "name": { "family": "Ham", "given": "Donhee" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Virtual damping and Einstein relation in oscillators", "ispublished": "pub", "full_text_status": "public", "keywords": "Einstein relation, linewidth compression, oscillator, phase diffusion, virtual damping, Analog integrated circuits, LC oscillators, oscillators, phase noise, radio-frequency (RF) circuits, resonators, ring oscillators", "note": "\"\u00a9 2003 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received July 16, 2002; revised October 5, 2002. This work was supported by the Office of Naval Research under Grant N00014-01-1-0764, the National Science Foundation under Grant EC4-0083220, the Lee Center for Advanced Networking, and an IBM Graduate Fellowship. \n\nThe authors would like to thank C. White of Caltech for his sharp insights and exciting discussions. The authors also thank B. Analui, H. Hashemi, A. Komijani, and H. Wu of Caltech for their valuable comments. A special debt of gratitude is due to Prof. Rutledge, Prof. Tai, Prof. Vaidyanathan, and Prof. Cross of Caltech, who gave the authors much helpful feedback. The authors would also like to thank the anonymous reviewers for their valuable suggestions.", "abstract": "This paper presents a new physical theory of oscillator phase noise. Built around the concept of phase diffusion, this work bridges the fundamental physics of noise and existing oscillator phase-noise theories. The virtual damping of an ensemble of oscillators is introduced as a measure of phase noise. The explanation of linewidth compression through virtual damping provides a unified view of resonators and oscillators. The direct correspondence between phase noise and the Einstein relation is demonstrated, which reveals the underlying physics of phase noise. The validity of the new approach is confirmed by consistent experimental agreement.", "date": "2003-03", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "38", "number": "3", "publisher": "IEEE", "pagerange": "407-418", "id_number": "CaltechAUTHORS:HAMieeejssc03", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAMieeejssc03", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2002.808283", "primary_object": { "basename": "HAMieeejssc03.pdf", "url": "https://authors.library.caltech.edu/records/haq0x-nke82/files/HAMieeejssc03.pdf" }, "resource_type": "article", "pub_year": "2003", "author_list": "Ham, Donhee and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/g6ykc-20t19", "eprint_id": 430, "eprint_status": "archive", "datestamp": "2023-08-21 23:56:19", "lastmod": "2023-10-13 21:48:33", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aparicio-R", "name": { "family": "Aparicio", "given": "Roberto" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A Noise-Shifting Differential Colpitts VCO", "ispublished": "pub", "full_text_status": "public", "keywords": "Analog integrated circuits, CMOS integrated circuits, LC oscillators, optimization, phase noise, quadrature oscillators, radio frequency, voltage-controlled oscillators", "note": "\"\u00a92002 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received April 30, 2002; revised July 1, 2002. This work was supported in part by the Lee center for advance networking, NSF-ERC, ONR-MURI, and a fellowship from IBM Corporation. \n\nThe authors would like to thank Skyworks Solutions and Jazz Semiconductor for fabrication of the oscillators and, in particular, S. Lloyd, R. Magoon, F. In'tveld, and B. Bhattacharyya of Skyworks Solutions (formerly known as the Wireless Communication Division of Conexant) and M. Racanelli, S. Stetson, and A. Karroy of Jazz Semiconductor for consistent support and help. They would also like to acknowledge I. Aoki, D. Ham, and S. Kee of the California Institute of Technology for valuable technical discussions.", "abstract": "A novel noise-shifting differential Colpitts VCO is presented. It uses current switching to lower phase noise by cyclostationary noise alignment and improve the start-up condition. A design strategy is also devised to enhance the phase noise performance of quadrature coupled oscillators. Two integrated VCOs are presented as design examples.", "date": "2002-12-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "37", "number": "12", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "1728-1736", "id_number": "CaltechAUTHORS:APAieeejssc02b", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:APAieeejssc02b", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/JSSC.2002.804354", "primary_object": { "basename": "APAieeejssc02b.pdf", "url": "https://authors.library.caltech.edu/records/g6ykc-20t19/files/APAieeejssc02b.pdf" }, "resource_type": "article", "pub_year": "2002", "author_list": "Aparicio, Roberto and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/b2gde-f7w23", "eprint_id": 453, "eprint_status": "archive", "datestamp": "2023-08-21 23:51:32", "lastmod": "2023-10-13 21:49:20", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "White-C-J", "name": { "family": "White", "given": "C. J." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Phase noise in distributed oscillators", "ispublished": "pub", "full_text_status": "public", "note": "\u00a92002 IEE. This article may be downloaded for personal use only. Any other use requires prior permission of the author and the IEE. \n\nThe authors appreciate discussions with D. Ham. C.J. White would like to acknowledge the support of an Intel Fellowship.", "abstract": "The phase noise of a distributed oscillator is evaluated very simply by identifying an effective capacitance equal to the total capacitance distributed along the transmission lines. The contributions of the various passive and active noise sources to the total phase noise are calculated revealing several guidelines for improved distributed oscillator designs.", "date": "2002-11-07", "date_type": "published", "publication": "Electronics Letters", "volume": "38", "number": "23", "publisher": "Electronics Letters", "pagerange": "1453-1454", "id_number": "CaltechAUTHORS:WHIel02", "issn": "0013-5194", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:WHIel02", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1049/el:20020982", "primary_object": { "basename": "WHIel02.pdf", "url": "https://authors.library.caltech.edu/records/b2gde-f7w23/files/WHIel02.pdf" }, "resource_type": "article", "pub_year": "2002", "author_list": "White, C. J. and Hajimiri, A." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/j5bmn-30r91", "eprint_id": 27599, "eprint_status": "archive", "datestamp": "2023-08-19 09:59:40", "lastmod": "2023-10-24 17:16:27", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Guan-X", "name": { "family": "Guan", "given": "Xiang" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 24GHz CMOS Front-end", "ispublished": "unpub", "full_text_status": "restricted", "keywords": "Impedance, CMOS technology, Radio frequency, Inductors, Frequency estimation, Noise figure, Wireless communication, Circuits, Bandwidth, Topology", "note": "\u00a9 2002 IEEE. Date of Current Version: 01 August 2005. The authors would like to thank Conexant Systems for\nfabrication of the front-end in their sbc18 process. They\nare grateful for the support of Lee Center, NSF-ERC and\nNSF. They are especially grateful for the help and advice\nof M. Racanelli, S. Stetson and A. Karroy of Conexant,\nH. Hashemi, H. Wu, D. Lu, D. Ham, I. Aoki, S. Kee, L.\nCheung, B. Analui, and A. Natarajan of Caltech.", "abstract": "This paper reports the first 24GHz 0.18-\u00b5m CMOS front-end. It down-converts an RF input at 24GHz range to an IF of 5GHz range with a power gain of 27.5dB and an overall noise figure of 7.7dB. It achieves an input return loss, S_(11) of -21dB drawing 43mA from a 1.5V supply. The LNA achieves a voltage gain of 22dB and a noise figure of 6dB consuming 16mA of dc current. This performance is achieved through an analysis of the LNA showing that the NF and gain of the common-gate stage scale more gracefully with frequency and hence it is the topology of choice for very high frequency front-ends.", "date": "2002-09", "date_type": "published", "publisher": "IEEE", "id_number": "CaltechAUTHORS:20111103-080251094", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111103-080251094", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Lee Center for Advanced Networking" }, { "agency": "NSF ERC" }, { "agency": "NSF" } ] }, "resource_type": "book_section", "pub_year": "2002", "author_list": "Guan, Xiang and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/2byad-fk373", "eprint_id": 27558, "eprint_status": "archive", "datestamp": "2023-08-19 09:59:33", "lastmod": "2023-10-24 17:14:49", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Analui-B", "name": { "family": "Analui", "given": "Behnam" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Multi-Pole Bandwidth Enhancement Technique for Trans-impedance Amplifiers", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2002 IEEE. Issue Date: 24-26 Sept. 2002. Date of Current Version: 01 August 2005. The authors would like to thank Conexant Systems for fabricating the chip and M. Racanelli, S. Stetson, and A. Karroy for their support. They also acknowledge I. Aoki, H. Hashemi, D. Ham, S. Kee, and H. Wu from Caltech's CHIC group for useful discussions. We also thank Lee center for advanced networking for supporting this\nproject.", "abstract": "A new technique for bandwidth enhancement of amplifiers is developed. Adding several passive networks, which can be designed independently, enables the control of transfer function and frequency response behavior. Parasitic capacitances of cascaded gain stages are isolated from each other and absorbed into passive networks. A simplified design procedure, using well-known filter component values is introduced. To demonstrate the feasibility of the method, a CMOS trans-impedance amplifier is implemented in 0.18\u00b5m BiCMOS technology. It achieves 9.2GHz bandwidth in the presence of 0.5pF photo diode capacitance and a trans-resistance gain of 54dB\u03a9, while drawing 55mA from a 2.5V supply.", "date": "2002-09", "date_type": "published", "publisher": "University of Bologna", "place_of_pub": "Bologna, Italy", "pagerange": "303-306", "id_number": "CaltechAUTHORS:20111101-115243350", "isbn": "8890084790", "book_title": "ESSCIRC 2002", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111101-115243350", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Conexant Systems" }, { "agency": "Caltech Lee Center for Advanced Networking" } ] }, "contributors": { "items": [ { "id": "Baschirotto-A", "name": { "family": "Baschirotto", "given": "Andrea" } }, { "id": "Malcovati-P", "name": { "family": "Malcovati", "given": "Piero" } } ] }, "resource_type": "book_section", "pub_year": "2002", "author_list": "Analui, Behnam and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/4js4e-9ta51", "eprint_id": 11521, "eprint_status": "archive", "datestamp": "2023-08-21 23:21:34", "lastmod": "2023-10-17 15:06:57", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Bohn-F", "name": { "family": "Bohn", "given": "Florian" } }, { "id": "Kee-S-D", "name": { "family": "Kee", "given": "Scott" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Demonstration of a switchless Class E/Fodd dual-band power amplifier", "ispublished": "unpub", "full_text_status": "public", "keywords": "power amplifiers; radiofrequency amplifiers; HAM band; dual-resonant network; passive network; power added efficiency; switchless class-E/F dual-band power amplifier", "note": "\u00a9 Copyright 2002 IEEE. Reprinted with permission. \n\nDate Published in Issue: 2002-08-07. \n\nThe authors wish to thank D. Rutledge, K. Potter and I. Aoki for their advice and assistance. D. Rutledge kindly contributed part of the equipment used for implementing and testing the reported amplifier.\n\nPublished - BOHmttsim02.pdf
", "abstract": "A 250 W dual-band power amplifier belonging to the Class E/F switching amplifier family is presented. The amplifier operates in the 7 MHz and 10 MHz HAM bands, achieving 16 dB and 15 d B gain with power added efficiencies (PAE) of 92% and 87% in those bands, respectively. It utilizes dual-resonant passive input and output networks to achieve high-efficiency Class E/Fodd operation at both frequencies of operation, allowing the same passive networks to be used for both frequency bands without the use of band-select switches.", "date": "2002-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "1631-1634", "id_number": "CaltechAUTHORS:BOHmttsim02", "isbn": "0-7803-7239-5", "book_title": "IEEE MTT-S International Microwave Symposium Digest, Seattle, WA, 2-7 June 2002", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:BOHmttsim02", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "contributors": { "items": [ { "name": { "family": "Hamilton", "given": "Rob" } }, { "name": { "family": "Chiang", "given": "Norman" } } ] }, "doi": "10.1109/MWSYM.2002.1012170", "primary_object": { "basename": "BOHmttsim02.pdf", "url": "https://authors.library.caltech.edu/records/4js4e-9ta51/files/BOHmttsim02.pdf" }, "resource_type": "book_section", "pub_year": "2002", "author_list": "Bohn, Florian; Kee, Scott; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/4m4vy-2je30", "eprint_id": 27601, "eprint_status": "archive", "datestamp": "2023-08-19 09:22:37", "lastmod": "2023-10-24 17:16:34", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Ham-D", "name": { "family": "Ham", "given": "Donhee" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Virtual damping in oscillators", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2002 IEEE. Date of Current Version: 07 August 2002. Authors would like to thank B. Analui, H. Hashemi, A. Komijani and C. White of Caltech for their valuable suggestions and helpful discussions. We also acknowledge the support of this project by ONR Grant (N00014-01-1-0764), IBM Corporation, National Science\nFoundation, and Lee Center for Advanced Networking.", "abstract": "This paper presents a new point of view of oscillator noise, bringing transparent insight into phase noise. This work bridges the fundamental physics of noise and existing oscillator phase noise theories and reveals the direct correspondence of phase noise and the Einstein relation. The concept of virtual damping is presented utilizing an ensemble of oscillators as a measure of phase noise. The explanation of the linewidth narrowing through virtual damping results in a clear definition of loaded and unloaded quality factors of an oscillator. The validity of this new approach is verified by excellent experimental agreement.", "date": "2002-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, N.J.", "pagerange": "213-216", "id_number": "CaltechAUTHORS:20111103-085201628", "isbn": "0-7803-7250-6", "book_title": "Proceedings of the IEEE 2002 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111103-085201628", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Office of Naval Research (ONR)", "grant_number": "N00014-01-1-0764" }, { "agency": "IBM Corporation" }, { "agency": "NSF" }, { "agency": "Caltech Lee Center for Advanced Networking" } ] }, "other_numbering_system": { "items": [ { "id": "7454924", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2002.1012799", "resource_type": "book_section", "pub_year": "2002", "author_list": "Ham, Donhee and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/56ebc-6qa97", "eprint_id": 6776, "eprint_status": "archive", "datestamp": "2023-08-21 23:08:03", "lastmod": "2023-10-16 20:31:42", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aparicio-R", "name": { "family": "Aparicio", "given": "Roberto" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Capacity limits and matching properties of integrated capacitors", "ispublished": "pub", "full_text_status": "public", "keywords": "Analog\u2013digital conversion, calibration, capacitance measurement, capacitors, digital\u2013analog conversion, fractals, integrated circuits, LC tank, passive circuits, resonators, sampled data circuits", "note": "\u00a9 Copyright 2002 IEEE. Reprinted with permission. \n\nManuscript received July 23, 2001; revised October 19, 2001. [Posted online: 2002-08-07] \n\nThe authors would like to thank Conexant Systems, Newport Beach, CA, and, in particular, S. Lloyd, R. Magoon, F. In'tveld, B. Bhattacharyya, J. Yu, and R. Hlavac of the Wireless Communications Division, and M. Racanelli, S. Stetson, and A. Karroy of the Silicon RF Platform Division for their help. The authors would also like to acknowledge I. Aoki, D. Ham, H. Hashemi, S. Kee, S. Koudounas, S. Mandegaran, and H. Wu of the California Institute of Technology for helpful discussions.", "abstract": "Theoretical limits for the capacitance density of integrated capacitors with combined lateral and vertical field components are derived. These limits are used to investigate the efficiency of various capacitive structures such as lateral flux and quasifractal capacitors. This study leads to two new capacitor structures with high lateral-field efficiencies. These new capacitors demonstrate larger capacities, superior matching properties, tighter tolerances, and higher self-resonance frequencies than the standard horizontal parallel plate and previously reported lateral-field capacitors, while maintaining comparable quality factors. These superior qualities are verified by simulation and experimental results.", "date": "2002-03-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "37", "number": "3", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "384-393", "id_number": "CaltechAUTHORS:APAieeejssc02a", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:APAieeejssc02a", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.987091", "primary_object": { "basename": "APAieeejssc02a.pdf", "url": "https://authors.library.caltech.edu/records/56ebc-6qa97/files/APAieeejssc02a.pdf" }, "resource_type": "article", "pub_year": "2002", "author_list": "Aparicio, Roberto and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/rtpz5-41f87", "eprint_id": 1824, "eprint_status": "archive", "datestamp": "2023-08-21 23:07:53", "lastmod": "2023-10-13 23:01:48", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aoki-I", "name": { "family": "Aoki", "given": "Ichiro" } }, { "id": "Kee-S-D", "name": { "family": "Kee", "given": "Scott D." } }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "David B." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Fully integrated CMOS power amplifier design using the distributed active-transformer architecture", "ispublished": "pub", "full_text_status": "public", "keywords": "Circular geometry, CMOS analog integrated circuit, distributed active transformer, double differential, harmonic control, impedance transformation, low voltage, power amplifier, power combining", "note": "\u00a9 Copyright 2002 IEEE. Reprinted with permission. \n\nManuscript received July 23, 2001; revised October 19, 2001. [Posted online: 2002-08-07] This work was supported in part by the Lee Center for Advanced Networking, Intel Corporation, the Army Research Office, Jet Propulsion Laboratory, Infineon, and the National Science Foundation. \n\nThe authors would like to thank Conexant Systems for chip\nfabrication, particularly R. Magoon, F. In'tveld, J. Powell, A. Vo, and K. Moye. K. Potter, D. Ham, and H. Wu of Caltech deserve special thanks for their assistance. The technical support for CAD tools from Agilent Technologies and Sonnet Software, Inc. are also appreciated.", "abstract": "A novel on-chip impedance matching and power-combining method, the distributed active transformer is presented. It combines several low-voltage push-pull amplifiers efficiently with their outputs in series to produce a larger output power while maintaining a 50-\u03a9 match. It also uses virtual ac grounds and magnetic couplings extensively to eliminate the need for any off-chip component, such as tuned bonding wires or external inductors. Furthermore, it desensitizes the operation of the amplifier to the inductance of bonding wires making the design more reproducible. To demonstrate the feasibility of this concept, a 2.4-GHz 2-W 2-V truly fully integrated power amplifier with 50-\u03a9 input and output matching has been fabricated using 0.35-\u03bcm CMOS transistors. It achieves a power added efficiency (PAE) of 41 % at this power level. It can also produce 450 mW using a 1-V supply. Harmonic suppression is 64 dBc or better. This new topology makes possible a truly fully integrated watt-level gigahertz range low-voltage CMOS power amplifier for the first time.", "date": "2002-03-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "37", "number": "3", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "371-383", "id_number": "CaltechAUTHORS:AOKieeejssc02", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AOKieeejssc02", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.987090", "primary_object": { "basename": "AOKieeejssc02.pdf", "url": "https://authors.library.caltech.edu/records/rtpz5-41f87/files/AOKieeejssc02.pdf" }, "resource_type": "article", "pub_year": "2002", "author_list": "Aoki, Ichiro; Kee, Scott D.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/8pct1-fwy06", "eprint_id": 434, "eprint_status": "archive", "datestamp": "2023-08-21 23:01:00", "lastmod": "2023-10-13 21:48:42", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Distributed Integrated Circuits: An Alternative Approach to High-Frequency Design", "ispublished": "pub", "full_text_status": "public", "keywords": "HF design; architecture; communication transceivers; distributed integrated circuits; electromagnetic levels; high-frequency communication building blocks; high-frequency design; multiple parallel signal paths; synchronization", "note": "\"\u00a92002 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nThe author would like to thank all members of the Caltech High-speed Integrated Circuits (CHIC) group: D. Ham, H. Wu, H. Hashemi, R. Aparicio, I. Aoki, C.J. White, B. Analui, X. Guan, A. Komijani, S. Kee, E. Afshari, and A. Sridhar, as well as Prof. D. Rutledge of Caltech for helpful discussions and their contributions to this article.", "abstract": "Distributed integrated circuits are presented as a methodology to design high-frequency communication building blocks. Distributed circuits operate based on multiple parallel signal paths working in synchronization that can be used to enhance the frequency of operation, combine power, and enhance the robustness of the design. These multiple signal paths usually result in strong couplings inside the circuit that necessitate\na treatment spanning architecture, circuits, devices, and electromagnetic levels of abstraction.", "date": "2002-02-01", "date_type": "published", "publication": "IEEE Communications Magazine", "volume": "40", "number": "2", "publisher": "IEEE Communications Magazine", "pagerange": "168-173", "id_number": "CaltechAUTHORS:HAJieeecm02", "issn": "0163-6804", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAJieeecm02", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/35.983925", "primary_object": { "basename": "HAJieeecm02.pdf", "url": "https://authors.library.caltech.edu/records/8pct1-fwy06/files/HAJieeecm02.pdf" }, "resource_type": "article", "pub_year": "2002", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/qthcc-3zp42", "eprint_id": 11475, "eprint_status": "archive", "datestamp": "2023-08-21 22:59:36", "lastmod": "2023-10-16 23:48:56", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aparicio-R", "name": { "family": "Aparicio", "given": "Roberto" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A CMOS differential noise-shifting Colpitts VCO", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS analogue integrated circuits; UHF integrated circuits; UHF oscillators; circuit tuning; integrated circuit noise; integrated circuit reliability; phase noise; voltage-controlled oscillators; CMOS differential noise-shifting Colpitts VCO; DC current; Q-factor; current switching; cyclostationary noise alignment; inductor phase noise; startup reliability; tuning range; voltage swing", "note": "\u00a9 Copyright 2002 IEEE. Reprinted with permission. \n\nPublication Date: 3-7 Feb. 2002. Digest on pages: 288 - 289 vol. 1. Visuals supplement on pages: 226-484 vol. 2. Date Published in Issue: 2002-08-07.\n\nPublished - APAisscc02a.pdf
Published - APAisscc02b.pdf
", "abstract": "A 0.35 \u03bcm VCO uses current switching to increase voltage swing, lower phase noise by cyclostationary noise alignment, and improve startup reliability. A CMOS VCO in a 3-metal, 0.35 \u03bcm process has -139 dBc/Hz phase noise at 3 MHz offset from a 1.8 GHz carrier and 30% of continuous tuning using inductors with Q of 6 and 4 mA dc current.", "date": "2002-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "id_number": "CaltechAUTHORS:APAisscc02", "isbn": "0-7803-7335-9", "book_title": "IEEE International Solid-State Circuits Conference, Digest of Technical Papers. ISSCC 2002, San Francisco, CA, 3-7 February 2002", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:APAisscc02", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISSCC.2002.993045", "primary_object": { "basename": "APAisscc02a.pdf", "url": "https://authors.library.caltech.edu/records/qthcc-3zp42/files/APAisscc02a.pdf" }, "related_objects": [ { "basename": "APAisscc02b.pdf", "url": "https://authors.library.caltech.edu/records/qthcc-3zp42/files/APAisscc02b.pdf" } ], "resource_type": "book_section", "pub_year": "2002", "author_list": "Aparicio, Roberto and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/p6zms-zhr15", "eprint_id": 6791, "eprint_status": "archive", "datestamp": "2023-08-21 22:56:32", "lastmod": "2023-10-16 20:32:17", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hashemi-H", "name": { "family": "Hashemi", "given": "Hossein" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Concurrent multiband low-noise amplifiers -- theory, design, and applications", "ispublished": "pub", "full_text_status": "public", "keywords": "Amplifier noise, land mobile radio cellular systems, low-noise amplifier, radio communication, radio receivers", "note": "\u00a9 Copyright 2002 IEEE. Reprinted with permission. \n\nManuscript received May 28, 2001. [Posted online: 2002-08-07] This work was supported by Conexant Systems and by NSF-ERC. \n\nThe authors would like to thank members of the Caltech High-Speed Integrated Circuits (C.H.I.C.) and Microwave and RF groups, particularly, I. Aoki, H. Wu, L. Chung, and S. Kee for assistance with measurements, and A. Komijani, D. Lu, B. Analui, and M. Morgan for their comments on the manuscript. The authors also thank Conexant Systems, Newport Beach, CA, for chip fabrication, especially R. Magoon, F. Intveld, R. Hlavac, A. Vo, J. Powel, T. Whistler, and S. Lloyd for their support during chip tape-out. The authors also appreciate helpful technical discussions with S. Weinreb, Jet Propulsion Laboratory, Pasadena, CA, H. Samavati, Stanford University, Stanford, CA, and Y. Cheng, Conexant Systems, Newport Beach, CA. \n\n\"Special Issue on Silicon-Based RF and Microwave Integrated Circuits\", IEEE Transactions on Microwave Theory and Techniques, vol. 50, no. 1, part 2, January 2002", "abstract": "The concept of concurrent multiband low-noise-amplifiers (LNAs) is introduced. A systematic way to design concurrent multiband integrated LNAs in general is developed. Applications of concurrent multiband LNAs in concurrent multiband receivers together with receiver architecture are discussed. Experimental results of a dual-band LNA implemented in a 0.35-\u03bcm CMOS technology as a demonstration of the concept and theory is presented.", "date": "2002-01-01", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "50", "number": "1", "publisher": "IEEE Transactions on Microwave Theory and Techniques", "pagerange": "288-301", "id_number": "CaltechAUTHORS:HASieeetmtt02", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HASieeetmtt02", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/22.981282", "primary_object": { "basename": "HASieeetmtt02.pdf", "url": "https://authors.library.caltech.edu/records/p6zms-zhr15/files/HASieeetmtt02.pdf" }, "resource_type": "article", "pub_year": "2002", "author_list": "Hashemi, Hossein and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/9b0pp-sp920", "eprint_id": 6775, "eprint_status": "archive", "datestamp": "2023-08-21 22:56:26", "lastmod": "2023-10-16 20:31:40", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aoki-I", "name": { "family": "Aoki", "given": "Ichiro" } }, { "id": "Kee-S-D", "name": { "family": "Kee", "given": "Scott D." } }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "David B." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Distributed active transformer - a new power-combining andimpedance-transformation technique", "ispublished": "pub", "full_text_status": "public", "keywords": "Circular geometry, CMOS analog integrated circuit, distributed active transformer, double differential, harmonic-control, impedance transformation, low voltage, power amplifier, power combining", "note": "\u00a9 Copyright 2002 IEEE. Reprinted with permission. \n\nManuscript received May 27, 2001. [Posted online: 2002-08-07] This work was supported by the Intel Corporation, the Army Research Office, the Jet Propulsion Laboratory, Infinion, and the National Science Foundation. \n\nThe authors thank Conexant Systems for chip fabrication, particularly R. Magoon, F. In'tveld, J. Powell, A. Vo, and K. Moye. K. Potter, D. Ham, and H.Wu, all of the California Institute of Technology (Caltech), Pasadena, deserve special thanks for their assistance. The technical support for CAD tools from Agilent Technologies and Sonnet Software Inc., Liverpool, NY, are also appreciated. \n\n\"Special Issue on Silicon-Based RF and Microwave Integrated Circuits\", IEEE Transactions on Microwave Theory and Techniques, vol. 50, no. 1, part 2", "abstract": "In this paper, we compare the performance of the newly introduced distributed active transformer (DAT) structure to that of conventional on-chip impedance-transformations methods. Their fundamental power-efficiency limitations in the design of high-power fully integrated amplifiers in standard silicon process technologies are analyzed. The DAT is demonstrated to be an efficient impedance-transformation and power-combining method, which combines several low-voltage push-pull amplifiers in series by magnetic coupling. To demonstrate the validity of the new concept, a 2.4-GHz 1.9-W 2-V fully integrated power-amplifier achieving a power-added efficiency of 41% with 50-\u03a9 input and output matching has been fabricated using 0.35-\u03bcm CMOS transistors", "date": "2002-01-01", "date_type": "published", "publication": "IEEE Transactions on Microwave Theory and Techniques", "volume": "50", "number": "1, pt.", "publisher": "IEEE Transactions on Microwave Theory and Techniques", "pagerange": "316-331", "id_number": "CaltechAUTHORS:AOKieeemtt02", "issn": "0018-9480", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AOKieeemtt02", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/22.981284", "primary_object": { "basename": "AOKieeetmtt02.pdf", "url": "https://authors.library.caltech.edu/records/9b0pp-sp920/files/AOKieeetmtt02.pdf" }, "resource_type": "article", "pub_year": "2002", "author_list": "Aoki, Ichiro; Kee, Scott D.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/8tyjy-3p546", "eprint_id": 529, "eprint_status": "archive", "datestamp": "2023-08-21 22:16:44", "lastmod": "2023-10-13 21:51:28", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Ham-D", "name": { "family": "Ham", "given": "Donhee" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Concepts and methods in optimization of integrated LC VCOs", "ispublished": "pub", "full_text_status": "public", "keywords": "Analog integrated circuits, CMOS integrated circuits, LC oscillators, optimization, phase noise, radio frequency, voltage-controlled oscillators", "note": "\"\u00a9 2001 IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.\" \n\nManuscript received June 21, 2000; revised January 2, 2001. This work was supported in part by a fellowship from IBM Corporation. \n\nThe authors would like to thank B. Analui and C. White of the California Institute of Technology for valuable discussions and suggestions on the theoretical aspects of this paper. They would also like to thank Conexant Systems for fabrication of the VCO, and particularly B. Bhattacharyya, F. In'tveld, and R. Magoon for consistent help and support. They would like to appreciate the help with the measurement provided by I. Aoki, H. Hashemi and H. Wu of California Institute of Technology and P. Vo of Massachusetts Institute of Technology.", "abstract": "Underlying physical mechanisms controlling the noise properties of oscillators are studied. This treatment shows the importance of inductance selection for oscillator noise optimization. A design strategy centered around an inductance selection scheme is executed using a practical graphical optimization method to optimize phase noise subject to design constraints such as power dissipation, tank amplitude, tuning range, startup condition, and diameters of spiral inductors. The optimization technique is demonstrated through a design example, leading to a 2.4-GHz fully integrated, LC voltage-controlled oscillator (VCO) implemented using 0.35-\u03bcm MOS transistors. The measured phase-noise values are -121, -117, and -115 dBc/Hz at 600-kHz offset from 1.91, 2.03, and 2.60-GHz carriers, respectively. The VCO dissipates 4 mA from a 2.5-V supply voltage. The inversion mode MOSCAP tuning is used to achieve 26% of tuning range. Two figures of merit for performance comparison of various oscillators are introduced and used to compare this work to previously reported results.", "date": "2001-06", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "36", "number": "6", "publisher": "IEEE", "pagerange": "896-909", "id_number": "CaltechAUTHORS:HAMieeejssc01", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAMieeejssc01", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.924852", "primary_object": { "basename": "HAMieeejssc01.pdf", "url": "https://authors.library.caltech.edu/records/8tyjy-3p546/files/HAMieeejssc01.pdf" }, "resource_type": "article", "pub_year": "2001", "author_list": "Ham, Donhee and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/zk3ds-yj770", "eprint_id": 27835, "eprint_status": "archive", "datestamp": "2023-08-19 07:47:07", "lastmod": "2023-10-24 17:27:33", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hashemi-H", "name": { "family": "Hashemi", "given": "Hossein" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Concurrent Dual-Band CMOS Low Noise Amplifiers and Receiver Architectures", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2001 IEEE. Date of Current Version: 07 August 2002. The authors would like to thank members of Caltech Microelectronics and Microwave groups, particularly, I. Aoki, H. Wu, L. Chung, and S. Kee for assistance with measurements. We also thank Conexant Systems for chip fabrication, specially R. Magoon, F. Int'veld, and R. Hlavac. We appreciate helpful technical discussions with S. Weinreb of JPL, H. Samavati of Stanford University, and Y. Cheng of Conexant Systems.", "abstract": "A new concurrent dual-band receiver architecture is introduced that is capable of simultaneous operation at two different frequency bands. The concurrent operation results in higher bandwidth, lower total power dissipation and less sensitivity to channel variations. The architecture uses a novel concurrent dual-band low noise amplifier (LNA), combined with an elaborate frequency conversion scheme to reject the image bands. A general methodology for the design of concurrent LNAs is provided that makes it possible to achieve simultaneous narrowband gain and matching at multiple frequencies. The methodology is demonstrated by implementing an integrated dual-band concurrent LNA using 0.35 \u03bcm CMOS transistors. The LNA provides narrow-band gain and matching at 2.45 GHz and 5.25 GHz bands, simultaneously. It drains 4 mA of current and achieves voltage gains of 14 dB and 15.5 dB, input return losses of 25 dB and 15 dB, and noise figures of 2.3 dB and 4.5 dB at these two bands, respectively.", "date": "2001-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "247-250", "id_number": "CaltechAUTHORS:20111117-120951431", "isbn": "4-89114-014-3", "book_title": "2001 Symposium on VLSI Circuits", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111117-120951431", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "7081239", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/VLSIC.2001.934254", "resource_type": "book_section", "pub_year": "2001", "author_list": "Hashemi, Hossein and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/m5yxt-bq245", "eprint_id": 11470, "eprint_status": "archive", "datestamp": "2023-08-21 22:11:20", "lastmod": "2023-10-16 23:48:44", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aoki-I", "name": { "family": "Aoki", "given": "Ichiro" } }, { "id": "Kee-S-D", "name": { "family": "Kee", "given": "Scott D." } }, { "id": "Rutledge-D-B", "name": { "family": "Rutledge", "given": "David" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 2.4-GHz, 2.2-W, 2-V fully-integrated CMOS circular-geometry active-transformer power amplifier", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS analog integrated circuits; MMIC power amplifiers; UHF integrated circuits; UHF power amplifiers; high-frequency transformers; impedance matching; power combiners; CMOS power amplifier; LV CMOS process; active-transformer power amplifier; fully-integrated power amplifier; harmonic control; impedance matching method; magnetic couplings; on-chip circular-geometry active-transformer; on-chip power combining; push-pull LV amplifiers; virtual AC grounds", "note": "The authors thank Conexant Systems for chip fabrication, particularly R. Magoon, F. In'tveld, J. Powell, A. Vo, and K. Moye. We also acknowledge Intel corp., Army research office, JPL, Infinion, and NSF for support of this project. K. Potter, D. Ham, and H. Wu of Caltech, deserve special thanks for their assistance. The technical support for CAD tools from Agilent Technologies and SONNET Software, Inc. are also appreciated.\n\nPublished - AOKcicc01.pdf
", "abstract": "A 2.4-GHz, 2.2-W, 2-V fully integrated circular geometry power amplifier with 50 \u03a9 input and output matching is fabricated using 2.5V, 0.35 pm CMOS transistors. It can also produce 450mW using a 1V supply. Harmonic suppression is 64dB or better. An on-chip circular-geometry active-transformer is used to combine several push-pull low-voltage amplifiers efficiently to produce a larger output power while maintaining a 50 \u03a9 match. This new on-chip power combining and impedance matching method uses virtual ac grounds and magnetic couplings extensively to eliminate the need for any off-chip component such as wirebonds. It also desensitizes the operation of the amplifier to the inductance of bonding wires and makes the design more reproducible. This new topology makes possible a fully-integrated 2.2W, 2.4GHz, low voltage CMOS power amplifier for the first time.", "date": "2001-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "57-60", "id_number": "CaltechAUTHORS:AOKcicc01", "isbn": "0-7803-6591-7", "book_title": "IEEE Conference on Custom Integrated Circuits (CICC 2001), San Diego, CA, 6-9 May 2001", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:AOKcicc01", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Conexant Systems" }, { "agency": "Intel Corp." }, { "agency": "Army Research Office" }, { "agency": "Jet Propulsion Laboratory" }, { "agency": "Infinion" }, { "agency": "National Science Foundation" }, { "agency": "Agilent Technologies" }, { "agency": "SONNET Software, Inc." } ] }, "doi": "10.1109/CICC.2001.929723", "primary_object": { "basename": "AOKcicc01.pdf", "url": "https://authors.library.caltech.edu/records/m5yxt-bq245/files/AOKcicc01.pdf" }, "resource_type": "book_section", "pub_year": "2001", "author_list": "Aoki, Ichiro; Kee, Scott D.; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/9cbmc-jj058", "eprint_id": 4718, "eprint_status": "archive", "datestamp": "2023-08-21 22:03:56", "lastmod": "2023-10-16 17:54:24", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wu-H", "name": { "family": "Wu", "given": "Hui" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Silicon-based distributed voltage-controlled oscillators", "ispublished": "pub", "full_text_status": "public", "keywords": "Distributed oscillators, frequency tuning, transmission lines, voltage-controlled oscillators", "note": "\u00a9 Copyright 2001 IEEE. Reprinted with permission. \n\nManuscript received July 20, 2000; revised October 26, 2000. [Posted online: 2002-08-07] This work was supported in part by the Lee Center for Advanced Networking and the National Science Foundation. \n\nThe authors would like to thank Conexant Systems, Newport Beach, CA for chip fabrication, and in particular S. Lloyd, R. Magoon, B. Bhattacharyya, F. In'tveld, J. Yu, and R. Hlavac for their help. They would also like to acknowledge L. Cheung, T. Hirvonen, D. Ham, H. Hashemi, S. Kee, I. Aoki, and M. Morgan of Caltech for helpful discussions and assistance with wire-bonding and measurement.", "abstract": "Distributed voltage-controlled oscillators (DVCOs) are presented as a new approach to the design of silicon VCOs at microwave frequencies. In this paper, the operation of distributed oscillators is analyzed and the general oscillation condition is derived, resulting in analytical expressions for the frequency and amplitude. Two tuning techniques for DVCOs are demonstrated, namely, the inherent-varactor tuning and delay-balanced current-steering tuning. A complete analysis of the tuning techniques is presented. CMOS and bipolar DVCOs have been designed and fabricated in a 0.35-\u03bcm BiCMOS process. A 10-GHz CMOS DVCO achieves a tuning range of 12% (9.3-10.5 GHz) and a phase noise of -103 dBc/Hz at 600 kHz offset from the carrier. The oscillator provides an output power of -4.5 dBm without any buffering, drawing 14 mA of dc current from a 2.5-V power supply. A 12-GHz bipolar DVCO consuming 6 mA from a 2.5-V power supply is also demonstrated. It has a tuning range of 26% with a phase noise of -99 dBc/Hz at 600 kHz offset from the carrier.", "date": "2001-03-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "36", "number": "3", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "493-502", "id_number": "CaltechAUTHORS:WUHieeejssc01", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:WUHieeejssc01", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.910488", "primary_object": { "basename": "WUHieeejssc01.pdf", "url": "https://authors.library.caltech.edu/records/9cbmc-jj058/files/WUHieeejssc01.pdf" }, "resource_type": "article", "pub_year": "2001", "author_list": "Wu, Hui and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/85fa7-4bq31", "eprint_id": 13080, "eprint_status": "archive", "datestamp": "2023-08-21 21:58:49", "lastmod": "2023-10-17 21:45:53", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wu-H", "name": { "family": "Wu", "given": "Hui" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 19 GHz 0.5 mW 0.35 \u03bcm CMOS frequency divider with shunt-peaking locking-range enhancement", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS analogue integrated circuits; frequency dividers; CMOS narrow-band injection-locked frequency divider; frequency synthesizer; locking range; power dissipation; quality factor; resonator; shunt peaking", "note": "\u00a9 Copyright 2001 IEEE. Reprinted with permission. \n\nPublication Date: 5-7 Feb. 2001. \n\nThis work was supported in part by the NSF, Lee Center for Advanced Networking, and Conexant Systems.\n\nPublished - HUWisscc01.pdf
", "abstract": "A frequency divider is an essential building block and one of the major sources of power dissipation in widely-used frequency synthesizers. As the output frequency of the synthesizer increases, the trade-off between the speed and power dissipation of dividers becomes more critical. Narrow-band injection-locked frequency dividers (ILFD) dissipate a fraction of the energy stored in the tank, which is determined by the quality factor, Q, of the resonator, in every cycle. Therefore, they have fundamentally lower power dissipation than wide-band dividers. Due to their narrow-band nature, ILFDs work in a limited frequency range (locking range). In this paper, shunt-peaking is used as an approach to increase the locking range and lower the power dissipation at higher frequencies.", "date": "2001-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "412-413, 471", "id_number": "CaltechAUTHORS:HUWIsscc01", "isbn": "0-7803-6608-5", "book_title": "IEEE International Solid-State Circuits Conference, 2001. Digest of Technical Papers. ISSCC. San Francisco, 5-7 February 2001", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HUWIsscc01", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "National Science Foundation" }, { "agency": "Lee Center for Advanced Networking, Caltech" }, { "agency": "Conexant Systems" } ] }, "doi": "10.1109/ISSCC.2001.912698", "primary_object": { "basename": "HUWisscc01.pdf", "url": "https://authors.library.caltech.edu/records/85fa7-4bq31/files/HUWisscc01.pdf" }, "resource_type": "book_section", "pub_year": "2001", "author_list": "Wu, Hui and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/mehst-6sc27", "eprint_id": 27834, "eprint_status": "archive", "datestamp": "2023-08-19 07:15:35", "lastmod": "2023-10-24 17:27:31", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Noise in Phase-Locked Loops [Invited]", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2001 IEEE. Date of Current Version: 07 August 2002. The author appreciates valuable discussions with members\nof Caltech Micro-Electronics group, particularly, B. Analui,\nR. Aparicio, I. Aoki, D. Ham, S. Kee, and H. Hashemi.", "abstract": "Jitter and phase noise properties of phase-locked loops (PLL) are analyzed, identifying various forms of jitter and phase noise in PLLs. The effects of different building blocks on the jitter and phase noise performance of PLLs are demonstrated through a parallel analytical and graphical treatment of noise evolution in the phase-locked loop.", "date": "2001-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, N.J.", "pagerange": "1-6", "id_number": "CaltechAUTHORS:20111117-115945405", "isbn": "0-7803-6742-1", "book_title": "2001 Southwest Symposium on Mixed Signal Design", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111117-115945405", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "6939838", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/SSMSD.2001.914927", "resource_type": "book_section", "pub_year": "2001", "author_list": "Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/et33s-jpe50", "eprint_id": 7466, "eprint_status": "archive", "datestamp": "2023-08-21 21:48:04", "lastmod": "2023-10-16 20:55:29", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Aparicio-R", "name": { "family": "Aparicio", "given": "R." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Capacity limits and matching properties of lateral flux integrated capacitors", "ispublished": "unpub", "full_text_status": "public", "keywords": "capacitance; capacitors; integrated circuit design; monolithic integrated circuits", "note": "\u00a9 Copyright 2002 IEEE. Reprinted with permission. \n\nThe authors acknowledge Conexant Systems, Newport Beach, CA for chip fabrication, and specially thank S. Lloyd, R. Magoon, B. Bhattacharyya, F. In'tveld, J. Yu and R. Hlavac. We would also like to acknowledge D. Ham, H. Hashemi, S. Koudounas, S. Mandegaran and H. Wu of Caltech for helpful discussions.", "abstract": "Theoretical limits for the capacitance density of lateral flux and quasi-fractal capacitors are calculated. These limits are used to investigate the efficiency of various capacitive structures such as lateral flux and quasi-fractal structures. This study leads to two new capacitor structures with high lateral field efficiency. Simulation and experimental results demonstrate higher capacity and superior matching properties compared to the standard horizontal parallel plate and previously reported lateral-field capacitors.", "date": "2001", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "365-368", "id_number": "CaltechAUTHORS:APAcicc01", "isbn": "0 7803 6591 7", "book_title": "Custom Integrated Circuits Conference (CICC '01), San Diego, CA, 6-9 May 2001", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:APAcicc01", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/CICC.2001.929803", "primary_object": { "basename": "APAcicc01.pdf", "url": "https://authors.library.caltech.edu/records/et33s-jpe50/files/APAcicc01.pdf" }, "resource_type": "book_section", "pub_year": "2001", "author_list": "Aparicio, R. and Hajimiri, A." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/gxcnr-93n40", "eprint_id": 28289, "eprint_status": "archive", "datestamp": "2023-08-19 05:50:03", "lastmod": "2023-10-24 17:47:29", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Wu-H", "name": { "family": "Wu", "given": "Hui" } } ] }, "title": "Analysis and Design of Silicon Bipolar Distributed Oscillators", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2000 IEEE. Date of Current Version: 06 August 2002. The authors would like to thank Lee Center for Advanced\nNetworking for partial support and Conexant Systems for\nchip fabrication. We are also deeply indebted to Lawrence\nCheung of Caltech for help with HFSS simulation of the\ntransmission lines.", "abstract": "A systematic approach to design of silicon bipolar distributed oscillators and voltage-controlled oscillators (VCOs) is presented. The operation of the distributed oscillators is analyzed and the general condition for oscillation is derived, resulting in analytical expressions for the frequency and amplitude of the distributed oscillators. Special attention is paid to transmission line modeling that largely determines the performance of the distributed oscillators. A distributed VCO operating at 12 GHz dissipating 13 mW of power is demonstrated. The VCO has a tuning range of 26% with a phase noise of -104 dBc/Hz at 1 MHz offset from the carrier. A second design shows a 17 GHz bipolar distributed oscillator, which dissipates 9 mW of power.", "date": "2000-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "102-105", "id_number": "CaltechAUTHORS:20111205-084201864", "isbn": "0-7803-6310-8", "book_title": "2000 Symposium on VSLI Circuits: Digest Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111205-084201864", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Caltech Lee Center for Advanced Networking" } ] }, "other_numbering_system": { "items": [ { "id": "6715274", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/VLSIC.2000.852863", "resource_type": "book_section", "pub_year": "2000", "author_list": "Hajimiri, Ali and Wu, Hui" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/3sxgj-v0317", "eprint_id": 13079, "eprint_status": "archive", "datestamp": "2023-08-21 21:09:05", "lastmod": "2023-10-17 21:45:50", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wu-H", "name": { "family": "Wu", "given": "Hui" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A novel tuning technique for distributed voltage controlled oscillators", "ispublished": "unpub", "full_text_status": "public", "keywords": "CMOS analog integrated circuits; MMIC oscillators; circuit tuning; distributed parameter networks; integrated circuit layout; variable-frequency oscillators; voltage-controlled oscillators; VCO tuning technique; current-steering tuning technique; delay-balanced tuning technique; distributed VCO; distributed voltage controlled oscillators", "note": "\u00a9 Copyright 2000 IEEE. Reprinted with permission. \n\nPublication Date: 28-31 May 2000. \n\nThe authors thank Conexant Systems, Newport Beach, CA for chip fabrication, and especially thank Stephen Lloyd, Bljan Bhattacharyya, Frank In'tveld, Jie Yu and Ronald Hlavac for CAD support and helpful discussions on testing. We would also like to acknowledge Taavi Hirvonen, Lawrence Cheung and Scott Kee of Caltech for helpful discussions.\n\nPublished - HUWiscas00.pdf
", "abstract": "A novel current-steering delay-balanced tuning technique for distributed voltage controlled oscillators (DVCO) is demonstrated. This tuning technique is used to design a DVCO operating at 10 GHz in a 0.35 \u03bcm CMOS technology. The DVCO is continuously tunable between 9.9 and 10.3 GHz. Special attention is paid to the layout issues for the high frequency design.", "date": "2000-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "57-60", "id_number": "CaltechAUTHORS:HUWiscas00", "isbn": "0-7803-5482-6", "book_title": "IEEE International Symposium on Circuits and Systems, ISCAS 2000 Geneva, 28-31 May 2000", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HUWiscas00", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/ISCAS.2000.855995", "primary_object": { "basename": "HUWiscas00.pdf", "url": "https://authors.library.caltech.edu/records/3sxgj-v0317/files/HUWiscas00.pdf" }, "resource_type": "book_section", "pub_year": "2000", "author_list": "Wu, Hui and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/mjwt6-5e920", "eprint_id": 28290, "eprint_status": "archive", "datestamp": "2023-08-19 05:42:07", "lastmod": "2023-10-24 17:47:33", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Ham-D", "name": { "family": "Ham", "given": "Donhee" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Complete noise analysis for CMOS switching mixers via stochastic differential equations", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2000 IEEE. Date of Current Version: 06 August 2002.", "abstract": "A complete analysis of noise in CMOS switching mixers using stochastic differential equations (SDE) is presented. The noise figure is calculated using this analysis which takes both cyclostationary noise sources and capacitive high frequency effects into account. The analysis leads to important design implications for mixer design and shows that some commonly-used approximations for mixer noise calculations can be misleading in certain cases even at low frequencies. It is demonstrated that there is an optimum value for the load capacitor leading to minimum noise figure and maximum conversion gain for the mixer", "date": "2000-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, N.J.", "pagerange": "439-442", "id_number": "CaltechAUTHORS:20111205-085511285", "isbn": "0-7803-5810-4", "book_title": "Proceedings of the IEEE 2000 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111205-085511285", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "6715409", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.2000.852703", "resource_type": "book_section", "pub_year": "2000", "author_list": "Ham, Donhee and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/e6kmx-fv435", "eprint_id": 28359, "eprint_status": "archive", "datestamp": "2023-08-19 05:42:14", "lastmod": "2023-10-24 17:50:25", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Ham-D", "name": { "family": "Ham", "given": "Donhee" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Design and optimization of a low noise 2.4 GHz CMOS VCO with integrated LC tank and MOSCAP tuning", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2000 IEEE. Date of Current Version: 06 August 2002. The authors thank Conexant Systems, Inc. for help and support with CAD tools and fabrication of the VCO.", "abstract": "A 2.4 GHz LC voltage controlled oscillator is designed and optimized via linear programming and implemented in a 0.35 \u03bcm RF BiCMOS process technology. Our design methodology provides an insight to choosing design variables given several constraints such as voltage swing, tuning range and start-up condition. The on-chip spiral inductors used are modeled and optimized by a 3D inductor simulator. The inversion mode MOSCAP tuning is used to achieve 26% of tuning range. The measured phase noise is -121 dBc/Hz, -117 dBc/Hz and -115 dBc/Hz at 600 kHz offset from 1.91 GHz, 2.03 GHz and 2.60 GHz carriers, respectively. The VCO dissipates only 4 mA from a 2.5 V supply voltage", "date": "2000-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, N.J.", "pagerange": "331-334", "id_number": "CaltechAUTHORS:20111207-153428070", "isbn": "0-7803-5482-6", "book_title": "ISCAS 2000 Geneva: Proceedings of the 2000 IEEE International Symposium on Circuits and Systems, Emerging technologies for the 21st century", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111207-153428070", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "funders": { "items": [ { "agency": "Conexant Systems" } ] }, "other_numbering_system": { "items": [ { "id": "6709819", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/ISCAS.2000.857097", "resource_type": "book_section", "pub_year": "2000", "author_list": "Ham, Donhee and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/xrh0s-qpg65", "eprint_id": 28258, "eprint_status": "archive", "datestamp": "2023-08-19 05:41:59", "lastmod": "2023-10-24 17:46:09", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Lehner-A", "name": { "family": "Lehner", "given": "Andreas" } }, { "id": "Weigel-R", "name": { "family": "Weigel", "given": "Robert" } }, { "id": "Sewald-D", "name": { "family": "Sewald", "given": "Dieter" } }, { "id": "Eichfeld-H", "name": { "family": "Eichfeld", "given": "Herbert" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Design of a novel low-power 4th-order 1.7 GHz CMOS frequency synthesizer for DCS-1800", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 2000 IEEE. Date of Current Version: 06 August 2002.\nThe authors would like to thank California Institute of Technology where this work was done for support during completion of this project.", "abstract": "A low-power fully-integrated type-2 4th-order 1.7 GHz CMOS frequency synthesizer for DCS-1800 application is designed and simulated in a 0.25 \u03bcm process technology. The frequency switching is achieved using a novel architecture exploiting a direct digital synthesis (DDS) device as the frequency reference. The new topology significantly lowers the undesired sideband power due to divider ratio switching by directly shifting the frequency of the DDS reference. The frequency synthesizer (excluding the DDS device) dissipates only 9 mW of power from a 2 V power supply. It employs a fast-switching novel charge pump circuit and a low-noise fully-integrated differential LC voltage controlled oscillator using on-chip spiral inductors and accumulation-mode capacitors to meet the requirements of a DCS-1800 system. A detailed analysis of the phase noise in the 4th order loop is presented.", "date": "2000-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "637-640", "id_number": "CaltechAUTHORS:20111130-143135860", "isbn": "0-7803-5482-6", "book_title": "Proceedings the 2000 IEEE International Symposium on Circuits and Systems", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111130-143135860", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "6777234", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/ISCAS.2000.857540", "resource_type": "book_section", "pub_year": "2000", "author_list": "Lehner, Andreas; Weigel, Robert; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/rx96f-mzt47", "eprint_id": 6718, "eprint_status": "archive", "datestamp": "2023-08-21 20:58:51", "lastmod": "2023-10-16 20:29:45", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" } ] }, "title": "Oscillator phase noise: a tutorial", "ispublished": "pub", "full_text_status": "public", "keywords": "Jitter, low-noise oscillators, noise, noise measurement, noise simulation, oscillators, oscillator noise, oscillator stability, phase jitter, phase-locked loops, phase noise, phase-noise simulation, voltage-controlled oscillators", "note": "\u00a9 Copyright 2000 IEEE. Reprinted with permission. \n\nManuscript received August 16, 1999; revised October 29, 1999. \n\nThe authors are grateful to Prof. D. Leeson of Stanford University for his gracious assistance and encouragement when the LTV theory was in its formative stages; and to Prof. J. White of the Massachusetts Institute of Technology for sharing his insights about modeling in general and phase-noise simulation in particular.", "abstract": "Linear time-invariant (LTI) phase noise theories provide important qualitative design insights but are limited in their quantitative predictive power. Part of the difficulty is that device noise undergoes multiple frequency translations to become oscillator phase noise. A quantitative understanding of this process requires abandoning the principle of time invariance assumed in most older theories of phase noise. Fortunately, the noise-to-phase transfer function of oscillators is still linear, despite the existence of the nonlinearities necessary for amplitude stabilization. In addition to providing a quantitative reconciliation between theory and measurement, the time-varying phase noise model presented in this tutorial identifies the importance of symmetry in suppressing the upconversion of 1/f noise into close-in phase noise, and provides an explicit appreciation of cyclostationary effects and AM-PM conversion. These insights allow a reinterpretation of why the Colpitts oscillator exhibits good performance, and suggest new oscillator topologies. Tuned LC and ring oscillator circuit examples are presented to reinforce the theoretical considerations developed. Simulation issues and the accommodation of amplitude noise are considered in appendixes.", "date": "2000-03-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "35", "number": "3", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "326-336", "id_number": "CaltechAUTHORS:LEEieeejssc00", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:LEEieeejssc00", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.826814", "primary_object": { "basename": "LEEieeejssc00.pdf", "url": "https://authors.library.caltech.edu/records/rx96f-mzt47/files/LEEieeejssc00.pdf" }, "resource_type": "article", "pub_year": "2000", "author_list": "Lee, Thomas H. and Hajimiri, Ali" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/a6xyn-rjd88", "eprint_id": 28430, "eprint_status": "archive", "datestamp": "2023-08-19 05:19:55", "lastmod": "2023-10-24 17:53:30", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wang-H-M", "name": { "family": "Wang", "given": "HongMo" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "Comments on \"Design issues in CMOS differential LC oscillators\" [and reply]", "ispublished": "pub", "full_text_status": "restricted", "note": "\u00a9 2000 IEEE. Manuscript received June 17, 1999; revised October 14, 1999. Date of Current Version: 06 August 2002.", "abstract": "For the original paper see ibid., vol. 34, no. 5, p. 717-24 (1999). The commenter points out that the phase noise difference reported in the aforementioned paper appears to have a topological cause, and he presents a simple analysis to show that the difference is 6 dB under otherwise identical conditions. In reply, the authors clarify some points in their original work.", "date": "2000-02", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "35", "number": "2", "publisher": "IEEE", "pagerange": "286-287", "id_number": "CaltechAUTHORS:20111212-151657259", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111212-151657259", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "6525105", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/4.823455", "resource_type": "article", "pub_year": "2000", "author_list": "Wang, HongMo; Hajimiri, Ali; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/9wzfr-av928", "eprint_id": 4729, "eprint_status": "archive", "datestamp": "2023-08-22 14:16:04", "lastmod": "2023-10-16 17:54:42", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Wu-H", "name": { "family": "Wu", "given": "Hui" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "A." }, "orcid": "0000-0001-6736-8019" } ] }, "title": "A 10 GHz CMOS distributed voltage controlled oscillator", "ispublished": "unpub", "full_text_status": "public", "keywords": "MMIC oscillators; circuit tuning; distributed parameter networks; field effect MMIC; phase noise; voltage-controlled oscillators", "note": "\u00a9 Copyright 2000 IEEE. Reprinted with permission. \n\nThe authors thank Conexant Systems, Newport Beach, CA for chip fabrication, and especially thank Stephen Lloyd, Rahul Magoon, Bijan Bhattacharyya, Frank In'tveld, Jie Yu and Ronald Hlavac for CAD support and helpful discussions on testing. We would also like to acknowledge Scott Kee, \nIchiro Aoki, Taavi Hirvonen, and Lawrence Cheung of Caltech for help on wire-bonding and measurement.", "abstract": "A 10 GHz CMOS distributed voltage controlled oscillator (DVCO) is designed in a 0.35 \u03bcm BiCMOS process technology using only CMOS transistors. The oscillator achieves a tuning range of 12% (9.3 GHz to 10.5 GHz) and a phase noise of -114 dBc/Hz at 1 MHz offset from a carrier frequency of 10.2 GHz. The VCO uses two different simultaneous tuning techniques which allow for a coarse and fine tuning of frequency in a frequency synthesizer. The oscillator provides an output power of -7 dBm without any buffering, drawing 14 mA of DC current from a 2.5 V power supply.", "date": "2000", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Los Alamitos, CA", "pagerange": "581-584", "id_number": "CaltechAUTHORS:WUHcicc00", "isbn": "0-7803-5809-0", "book_title": "Proceedings of the IEEE 2000 Custom Integrated Circuits Conference, Caribe Royale Resort Suites, May 21-24, 2000, Orlando, Florida", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:WUHcicc00", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/CICC.2000.852735", "primary_object": { "basename": "WUHcicc00.pdf", "url": "https://authors.library.caltech.edu/records/9wzfr-av928/files/WUHcicc00.pdf" }, "resource_type": "book_section", "pub_year": "2000", "author_list": "Wu, Hui and Hajimiri, A." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/12zyh-syk09", "eprint_id": 28720, "eprint_status": "archive", "datestamp": "2023-08-19 04:49:41", "lastmod": "2023-10-24 18:06:27", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "del-Mar-Hershenson-M", "name": { "family": "del Mar Hershenson", "given": "Maria" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Mohan-S-S", "name": { "family": "Mohan", "given": "Sunderarajan S." } }, { "id": "Boyd-S-P", "name": { "family": "Boyd", "given": "Stephen P." } }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "Design and optimization of LC oscillators", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 1999 IEEE.\n\nDate of Current Version: 06 August 2002.", "abstract": "We present a method for optimizing and automating component\nand transistor sizing for CMOS LC oscillators. We observe\nthat the performance measures can be formulated as posynomial functions of the design variables. As a result, the LC oscillator design problems can be posed as a geometric program, a special type of optimization problem for which very efficient global optimization methods have recently been developed. The synthesis method is therefore fast, and determines the globally optimal design; in particular the final solution is completely independent\nof the starting point (which can even be infeasible),\nand infeasible specifications are unambiguously detected. We\ncan rapidly compute globally optimal trade-off curves between competing objectives such as phase noise and power.", "date": "1999-11", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "65-69", "id_number": "CaltechAUTHORS:20120109-142808239", "isbn": "0-7803-5832-5", "book_title": "1999 IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20120109-142808239", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "6441900", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/ICCAD.1999.810623", "resource_type": "book_section", "pub_year": "1999", "author_list": "del Mar Hershenson, Maria; Hajimiri, Ali; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/1hw2y-5p770", "eprint_id": 4916, "eprint_status": "archive", "datestamp": "2023-08-22 13:49:15", "lastmod": "2023-10-16 17:59:53", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Limotyrakis-S", "name": { "family": "Limotyrakis", "given": "Sotirios" } }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "Jitter and phase noise in ring oscillators", "ispublished": "pub", "full_text_status": "public", "keywords": "Design methodology, jitter, noise measurement, oscillator noise, oscillator stability, phase jitter, phase-locked loops, phase noise, ring oscillators, voltage-controlled oscillators", "note": "\u00a9 Copyright 1999 IEEE. Reprinted with permission. \n\nManuscript received April 8, 1998; revised November 2, 1998. \n\nThe authors would like to thank M. A. Horowitz, G. Nasserbakht, A. Ong, C. K. Yang, B. A. Wooley, and M. Zargari for helpful discussions and support. They would further like to thank Texas Instruments, Inc., and Stanford Nano-Fabrication facilities for fabrication of the oscillators.", "abstract": "A companion analysis of clock jitter and phase noise of single-ended and differential ring oscillators is presented. The impulse sensitivity functions are used to derive expressions for the jitter and phase noise of ring oscillators. The effect of the number of stages, power dissipation, frequency of oscillation, and short-channel effects on the jitter and phase noise of ring oscillators is analyzed. Jitter and phase noise due to substrate and supply noise is discussed, and the effect of symmetry on the upconversion of 1/f noise is demonstrated. Several new design insights are given for low jitter/phase-noise design. Good agreement between theory and measurements is observed.", "date": "1999-06-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "34", "number": "6", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "790-804", "id_number": "CaltechAUTHORS:HAJieeejssc99a", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAJieeejssc99a", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.766813", "primary_object": { "basename": "HAJieeejssc99a.pdf", "url": "https://authors.library.caltech.edu/records/1hw2y-5p770/files/HAJieeejssc99a.pdf" }, "resource_type": "article", "pub_year": "1999", "author_list": "Hajimiri, Ali; Limotyrakis, Sotirios; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/cx5j0-4p583", "eprint_id": 4915, "eprint_status": "archive", "datestamp": "2023-08-22 13:45:49", "lastmod": "2023-10-16 17:59:51", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "Design issues in CMOS differential LC oscillators", "ispublished": "pub", "full_text_status": "public", "keywords": "Design methodology, noise measurement, oscillator noise, oscillator stability, phase jitter, phase-locked loops, phase noise, voltage-controlled oscillators", "note": "\u00a9 Copyright 1999 IEEE. Reprinted with permission. \n\nManuscript received September 20, 1998; revised December 22, 1998. \n\nThe authors would like to acknowledge Dr. G. Nasserbakht, H. Samavati, M. Hershenson, and H. Rategh for valuable technical discussions. They also acknowledge the technical contributions by S. Limotyrakis in particular. They would further like to thank Texas Instruments, Inc., for fabrication of the oscillators.", "abstract": "An analysis of phase noise in differential cross-coupled inductance-capacitance (LC) oscillators is presented. The effect of tail current and tank power dissipation on the voltage amplitude is shown. Various noise sources in the complementary cross-coupled pair are identified, and their effect on phase noise is analyzed. The predictions are in good agreement with measurements over a large range of tail currents and supply voltages. A 1.8 GHz LC oscillator with a phase noise of -121 dBc/Hz at 600 kHz is demonstrated, dissipating 6 mW of power using on-chip spiral inductors.", "date": "1999-05-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "34", "number": "5", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "717-724", "id_number": "CaltechAUTHORS:HAJieeejssc99b", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAJieeejssc99b", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.760384", "primary_object": { "basename": "HAJieeejssc99b.pdf", "url": "https://authors.library.caltech.edu/records/cx5j0-4p583/files/HAJieeejssc99b.pdf" }, "resource_type": "article", "pub_year": "1999", "author_list": "Hajimiri, Ali and Lee, Thomas H." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/8f4yr-hza08", "eprint_id": 6777, "eprint_status": "archive", "datestamp": "2023-08-22 13:19:35", "lastmod": "2023-10-16 20:31:44", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Samavati-H", "name": { "family": "Samavati", "given": "Hirad" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Shahani-A-R", "name": { "family": "Shahani", "given": "Arvin R." } }, { "id": "Nasserbakht-G-N", "name": { "family": "Nasserbakht", "given": "Gitty N." } }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "Fractal capacitors", "ispublished": "pub", "full_text_status": "public", "note": "\u00a9 Copyright 1998 IEEE. Reprinted with permission. \n\nManuscript received April 10, 1998; revised July 15, 1998. \n\nThe authors would like to acknowledge D. K. Shaeffer and H. Rategh for helpful discussions.", "abstract": "A linear capacitor structure using fractal geometries is described. This capacitor exploits both lateral and vertical electric fields to increase the capacitance per unit area. Compared to standard parallel-plate capacitors, the parasitic bottom-plate capacitance is reduced. Unlike conventional metal-to-metal capacitors, the capacitance density increases with technology scaling. A classic fractal structure is implemented with 0.6-\u03bcm metal spacing, and a factor of 2.3 increase in the capacitance per unit area is observed. It is shown that capacitance boost factors in excess of ten may be possible as technology continues to scale. A computer-aided-design tool to automatically generate and analyze custom fractal layouts has been developed.", "date": "1998-12-01", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "33", "number": "12", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "2035-2041", "id_number": "CaltechAUTHORS:SAMieeejssc98", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:SAMieeejssc98", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.735545", "primary_object": { "basename": "SAMieeejssc98.pdf", "url": "https://authors.library.caltech.edu/records/8f4yr-hza08/files/SAMieeejssc98.pdf" }, "resource_type": "article", "pub_year": "1998", "author_list": "Samavati, Hirad; Hajimiri, Ali; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/vnkez-64739", "eprint_id": 28754, "eprint_status": "archive", "datestamp": "2023-08-19 02:56:26", "lastmod": "2023-10-24 18:08:00", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "Phase noise in CMOS differential LC oscillators", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 1998 IEEE. Date of Current Version: 06 August 2002.\nThe authors would like to acknowledge Dr. Gitty Nasserbakht,\nSotirios Limotyrakis and Hirad Samavati for valuable\ntechnical discussions. They would, further like to thank Texas Instruments Inc. for fabrication of the oscillators.", "abstract": "An analysis of phase noise in differential cross-coupled tuned tank voltage controlled oscillators is presented. The effect of active device noise sources as well as the noise due to the passive elements are taken into account. The predictions are in good agreement with the measurements for different tail currents and supply voltages. The effect of the complementary cross-coupled pair is analyzed and verified experimentally. A 1.8 GHz LC oscillator with a phase noise of -121 dBc/Hz at 600 kHz is demonstrated, dissipating 6 mW of power using spiral inductors.", "date": "1998-06", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "48-51", "id_number": "CaltechAUTHORS:20120112-110510751", "isbn": "0-7803-4766-8", "book_title": "1998 Symposium on VLSI Circuits: digest of technical papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20120112-110510751", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "6096005", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/VLSIC.1998.687999", "resource_type": "book_section", "pub_year": "1998", "author_list": "Hajimiri, Ali and Lee, Thomas H." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/pjrjt-sdg61", "eprint_id": 28544, "eprint_status": "archive", "datestamp": "2023-08-19 02:47:20", "lastmod": "2023-10-24 17:58:29", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Heald-R", "name": { "family": "Heald", "given": "Raymond" } } ] }, "title": "Design issues in cross-coupled inverter sense amplifier", "ispublished": "unpub", "full_text_status": "public", "note": "\u00a9 1998 IEEE.\nDate of Current Version: 06 August 2002.\n\nPublished - HAJiscas98.pdf
", "abstract": "This paper presents an analytical approach to the design of CMOS cross-coupled inverter sense amplifiers. The effects of the equilibrating transistors and the tail current source on the speed of the sense amplifier are analyzed. An analysis of the offset due to mismatch in various parameters is performed, showing that a complete offset analysis has to account for the cell and bitline structure. A new figure of merit for the offset in the sense amplifier and several new design insights are introduced.", "date": "1998-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "149 -152", "id_number": "CaltechAUTHORS:20111220-140652487", "isbn": "0-7803-4455-3", "book_title": "Proceedings of the 1998 IEEE International Symposium on Circuits and Systems", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111220-140652487", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "6005215", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/ISCAS.1998.706863", "primary_object": { "basename": "HAJiscas98.pdf", "url": "https://authors.library.caltech.edu/records/pjrjt-sdg61/files/HAJiscas98.pdf" }, "resource_type": "book_section", "pub_year": "1998", "author_list": "Hajimiri, Ali and Heald, Raymond" }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/r1rcw-5sh37", "eprint_id": 28568, "eprint_status": "archive", "datestamp": "2023-08-19 02:47:40", "lastmod": "2023-10-24 17:59:35", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Limotyrakis-S", "name": { "family": "Limotyrakis", "given": "Sotirios" } }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "Phase noise in multi-gigahertz CMOS ring oscillators", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 1998 IEEE. Date of Current Version: 06 August 2002. The authors would like to acknowledge Dr. Gitty Nasserbakht,\nDr. Masoud Zargari, Ramin Farjad-Rad and Hirad Samavati for valuable technical discussions. They would further\nlike to thank Texas Instruments Inc. for fabrication of\nthe oscillators.", "abstract": "An analysis of the phase noise in differential and single-ended ring oscillators using a time-variant model is presented. An expression for the RMS value of the impulse sensitivity function (ISF) is derived. A closed-form equation for phase noise of ring oscillators is calculated and a lower limit on the phase noise of ring oscillators is shown. Phase noise measurements of oscillators running up to 5.5 GHz are in good agreement with the theory.", "date": "1998-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "49-52", "id_number": "CaltechAUTHORS:20111222-111025211", "isbn": "0-7803-4292-5", "book_title": "Proceedings of the IEEE 1998 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20111222-111025211", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "6118279", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.1998.694905", "resource_type": "book_section", "pub_year": "1998", "author_list": "Hajimiri, Ali; Limotyrakis, Sotirios; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/r11pe-dnn76", "eprint_id": 4917, "eprint_status": "archive", "datestamp": "2023-08-22 12:36:05", "lastmod": "2023-10-16 17:59:56", "type": "article", "metadata_visibility": "show", "creators": { "items": [ { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Lee-T-H", "name": { "family": "Lee", "given": "Thomas H." } } ] }, "title": "A general theory of phase noise in electrical oscillators", "ispublished": "pub", "full_text_status": "public", "keywords": "Jitter, oscillator noise, oscillators, oscillator stability, phase jitter, phase locked loops, phase noise, voltage controlled oscillators", "note": "\u00a9 Copyright 1998 IEEE. Reprinted with permission. \n\nManuscript received December 17, 1996; revised July 9, 1997. \n\nThe authors would like to thank T. Ahrens, R. Betancourt, R. Farjad-Rad, M. Heshami, S. Mohan, H. Rategh, H. Samavati, D. Shaeffer, A. Shahani, K. Yu, and M. Zargari of Stanford University and Prof. B. Razavi of UCLA for helpful discussions. The authors would also like to thank M. Zargari, R. Betancourt, B. Amruturand, J. Leung, J. Shott, and Stanford Nanofabrication Facility for providing several test chips. They are also grateful to Rockwell Semiconductor for providing access to their phase noise measurement system. \n\nCorrection. IEEE J Solid-State Circuits 33(6):928 June 1998.\n\nDiscussion. IEEE J Solid-State Circuits 42(10):2314-2315 Oct 2007. \n\nDiscussion. IEEE J Solid-State Circuits 43(9):2170 Sept 2008.\n\nDiscussion - HAJieeejssc98disc.pdf
", "abstract": "A general model is introduced which is capable of making accurate, quantitative predictions about the phase noise of different types of electrical oscillators by acknowledging the true periodically time-varying nature of all oscillators. This new approach also elucidates several previously unknown design criteria for reducing close-in phase noise by identifying the mechanisms by which intrinsic device noise and external noise sources contribute to the total phase noise. In particular, it explains the details of how 1/f noise in a device upconverts into close-in phase noise and identifies methods to suppress this upconversion. The theory also naturally accommodates cyclostationary noise sources, leading to additional important design insights. The model reduces to previously available phase noise models as special cases. Excellent agreement among theory, simulations, and measurements is observed.", "date": "1998-02", "date_type": "published", "publication": "IEEE Journal of Solid-State Circuits", "volume": "33", "number": "2", "publisher": "IEEE Journal of Solid-State Circuits", "pagerange": "179-194", "id_number": "CaltechAUTHORS:HAJieeejssc98", "issn": "0018-9200", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:HAJieeejssc98", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "doi": "10.1109/4.658619", "primary_object": { "basename": "HAJieeejssc07corr.pdf", "url": "https://authors.library.caltech.edu/records/r11pe-dnn76/files/HAJieeejssc07corr.pdf" }, "related_objects": [ { "basename": "HAJieeejssc98.pdf", "url": "https://authors.library.caltech.edu/records/r11pe-dnn76/files/HAJieeejssc98.pdf" }, { "basename": "HAJieeejssc98corr.pdf", "url": "https://authors.library.caltech.edu/records/r11pe-dnn76/files/HAJieeejssc98corr.pdf" }, { "basename": "HAJieeejssc98disc.pdf", "url": "https://authors.library.caltech.edu/records/r11pe-dnn76/files/HAJieeejssc98disc.pdf" } ], "resource_type": "article", "pub_year": "1998", "author_list": "Hajimiri, Ali and Lee, Thomas H." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/72n2x-60h85", "eprint_id": 28807, "eprint_status": "archive", "datestamp": "2023-08-19 02:27:17", "lastmod": "2023-10-24 18:10:01", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Samavati-H", "name": { "family": "Samavati", "given": "Hirad" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Shahani-A", "name": { "family": "Shahani", "given": "Arvin" } }, { "id": "Nasserbakht-G", "name": { "family": "Nasserbakht", "given": "Gitty" } }, { "id": "Lee-T", "name": { "family": "Lee", "given": "Thomas" } } ] }, "title": "Fractal capacitors", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 1998 IEEE. Date of Current Version: 06 August 2002. The authors acknowledge T. Ahrens for helpful discussions.", "abstract": "This paper introduces a high-density linear capacitor structure with low bottom-plate parasitics. The density of such a structure improves as process technologies scale. Fractal capacitors retain the linearity of metal-to-metal capacitors with limited degradation of Q. The structures automatically limit the length of the thin metal sections to a few microns, keeping the series resistance reasonably small. Another advantage is the reduction of bottom-plate capacitance because of the smaller area.", "date": "1998-02", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "256-257", "id_number": "CaltechAUTHORS:20120117-110114752", "isbn": "0-7803-4344-1", "book_title": "1998 IEEE International Solid-State Circuits Conference: Digest of Technical Papers", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20120117-110114752", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "other_numbering_system": { "items": [ { "id": "5999076", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/ISSCC.1998.672459", "resource_type": "book_section", "pub_year": "1998", "author_list": "Samavati, Hirad; Hajimiri, Ali; et el." }, { "id": "https://authors.library.caltech.eduhttps://authors.library.caltech.edu/records/1rs3z-5xm42", "eprint_id": 28961, "eprint_status": "archive", "datestamp": "2023-08-19 01:18:24", "lastmod": "2023-10-24 18:16:26", "type": "book_section", "metadata_visibility": "show", "creators": { "items": [ { "id": "Navid-S", "name": { "family": "Navid", "given": "Saeed" } }, { "id": "Behbahani-F", "name": { "family": "Behbahani", "given": "Farbod" } }, { "id": "Fotowat-A", "name": { "family": "Fotowat", "given": "Ali" } }, { "id": "Hajimiri-A", "name": { "family": "Hajimiri", "given": "Ali" }, "orcid": "0000-0001-6736-8019" }, { "id": "Gaethke-R", "name": { "family": "Gaethke", "given": "Rainer" } }, { "id": "Delurio-M", "name": { "family": "Delurio", "given": "Micheal" } } ] }, "title": "Level-locked loop: a technique for broadband quadrature signal generation", "ispublished": "unpub", "full_text_status": "restricted", "note": "\u00a9 1997 IEEE. Date of Current Version: 06 August 2002.\nAuthors wish to thank M. Gholipour, A. Tabatabaie, S.\nShah-Heidari, A. Arefpur, and A. Khalili-Azad for their\ncontribution in the project and Mark Judson for the measurements.", "abstract": "A method for precise wideband quadrature signal generation is presented. A divide-by-2 stage forms an adjustable phase quadrature signal generator. Its output phase error is converted to an error signal, which feeds back to the quadrature signal generator through an integrator, to correct the output phase difference. Using this method, a phase accuracy better than 0.5\u00b0 is achieved over 40 MHz to 500 MHz of output frequency without any external tuning. The loop compensates for any circuit and layout mismatches, is not sensitive to distorted input LO signals, and has no inherent high frequency limitation.", "date": "1997-05", "date_type": "published", "publisher": "IEEE", "place_of_pub": "Piscataway, NJ", "pagerange": "411-414", "id_number": "CaltechAUTHORS:20120125-112220383", "isbn": "0-7803-3670-4", "book_title": "Proceedings of the IEEE 1997 Custom Integrated Circuits Conference", "official_url": "https://resolver.caltech.edu/CaltechAUTHORS:20120125-112220383", "rights": "No commercial reproduction, distribution, display or performance rights in this work are provided.", "collection": "CaltechAUTHORS", "other_numbering_system": { "items": [ { "id": "5730320", "name": "INSPEC Accession Number" } ] }, "doi": "10.1109/CICC.1997.606656", "resource_type": "book_section", "pub_year": "1997", "author_list": "Navid, Saeed; Behbahani, Farbod; et el." } ]